ISO-10303-21; HEADER; /* Generated by software containing ST-Developer * from STEP Tools, Inc. (www.steptools.com) */ /* OPTION: strings as raw bytes, not using required /X/ escapes */ FILE_DESCRIPTION( /* description */ ('Unknown'), /* implementation_level */ '2;1'); FILE_NAME( /* name */ '1486-02-00', /* time_stamp */ '2018-01-17T12:21:30+01:00', /* author */ ('Unknown'), /* organization */ ('Unknown'), /* preprocessor_version */ 'ST-DEVELOPER v16.7', /* originating_system */ 'DEX', /* authorisation */ $); FILE_SCHEMA (('AUTOMOTIVE_DESIGN {1 0 10303 214 3 1 1}')); ENDSEC; DATA; #10=PROPERTY_DEFINITION_REPRESENTATION(#14,#12); #11=PROPERTY_DEFINITION_REPRESENTATION(#15,#13); #12=REPRESENTATION('',(#16),#7047); #13=REPRESENTATION('',(#17),#7047); #14=PROPERTY_DEFINITION('pmi validation property','',#7062); #15=PROPERTY_DEFINITION('pmi validation property','',#7062); #16=VALUE_REPRESENTATION_ITEM('number of annotations',COUNT_MEASURE(0.)); #17=VALUE_REPRESENTATION_ITEM('number of views',COUNT_MEASURE(0.)); #18=TOROIDAL_SURFACE('',#4592,1.99999999999999,1.); #19=TOROIDAL_SURFACE('',#4597,2.80000000000001,0.2); #20=DRAUGHTING_PRE_DEFINED_COLOUR('white'); #21=CONICAL_SURFACE('',#4562,12.95,0.785398163397448); #22=CONICAL_SURFACE('',#4563,13.45,0.785398163397448); #23=CONICAL_SURFACE('',#4575,11.45,1.50837751679894); #24=CONICAL_SURFACE('',#4576,3.45,1.50837751679894); #25=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#271,#7064); #26=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#272,#7067); #27=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#273,#7069); #28=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#274,#7070); #29=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#275,#7072); #30=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#276,#7073); #31=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#277,#7074); #32=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#278,#7075); #33=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#279,#7076); #34=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#280,#7077); #35=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#281,#7078); #36=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#282,#7079); #37=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#283,#7080); #38=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#284,#7081); #39=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#285,#7082); #40=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#286,#7083); #41=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#287,#7084); #42=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#288,#7085); #43=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#289,#7086); #44=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#290,#7087); #45=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#291,#7088); #46=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#292,#7089); #47=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#293,#7090); #48=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#294,#7091); #49=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#295,#7092); #50=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#296,#7093); #51=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#297,#7094); #52=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#298,#7095); #53=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#299,#7096); #54=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#300,#7097); #55=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#301,#7098); #56=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#302,#7099); #57=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#303,#7100); #58=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#304,#7101); #59=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#305,#7102); #60=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#306,#7103); #61=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#307,#7104); #62=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#308,#7105); #63=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#309,#7106); #64=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#310,#7107); #65=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#311,#7108); #66=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#312,#7109); #67=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#313,#7110); #68=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#314,#7111); #69=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#315,#7112); #70=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#316,#7113); #71=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#317,#7114); #72=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#318,#7115); #73=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#319,#7116); #74=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#320,#7117); #75=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#321,#7118); #76=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#322,#7119); #77=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#323,#7120); #78=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#324,#7121); #79=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#325,#7122); #80=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#326,#7123); #81=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#327,#7124); #82=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#328,#7125); #83=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#329,#7126); #84=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#330,#7127); #85=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#331,#7128); #86=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#332,#7129); #87=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#333,#7130); #88=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#334,#7131); #89=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#335,#7132); #90=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#336,#7133); #91=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#337,#7134); #92=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#338,#7135); #93=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#339,#7136); #94=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#340,#7137); #95=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#341,#7138); #96=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#342,#7139); #97=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#343,#7140); #98=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#344,#7141); #99=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#345,#7142); #100=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#346,#7143); #101=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#347,#7144); #102=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#348,#7145); #103=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#349,#7146); #104=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#350,#7147); #105=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#351,#7148); #106=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#352,#7149); #107=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#353,#7150); #108=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#354,#7151); #109=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#355,#7152); #110=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#356,#7153); #111=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#357,#7154); #112=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#358,#7155); #113=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#359,#7156); #114=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#360,#7157); #115=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#361,#7158); #116=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#362,#7159); #117=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#363,#7160); #118=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#364,#7161); #119=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#365,#7162); #120=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#366,#7163); #121=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#367,#7164); #122=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#368,#7165); #123=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#369,#7166); #124=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#370,#7167); #125=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#371,#7168); #126=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#372,#7169); #127=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#373,#7170); #128=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#374,#7171); #129=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#375,#7172); #130=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#376,#7173); #131=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#377,#7174); #132=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#378,#7175); #133=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#379,#7176); #134=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#380,#7177); #135=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#381,#7178); #136=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#382,#7179); #137=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#383,#7180); #138=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#384,#7181); #139=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#385,#7182); #140=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#386,#7183); #141=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#387,#7184); #142=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#388,#7185); #143=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#389,#7186); #144=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#390,#7187); #145=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#391,#7188); #146=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#392,#7189); #147=CONTEXT_DEPENDENT_SHAPE_REPRESENTATION(#393,#7190); #148=NEXT_ASSEMBLY_USAGE_OCCURRENCE('1486-01-01','1486-01-01', '1486-01-01',#7191,#7192,''); #149=NEXT_ASSEMBLY_USAGE_OCCURRENCE('1486-03-01','1486-03-01', '1486-03-01',#7193,#7194,''); #150=NEXT_ASSEMBLY_USAGE_OCCURRENCE('1486-03-02','1486-03-02', '1486-03-02',#7193,#7195,''); #151=NEXT_ASSEMBLY_USAGE_OCCURRENCE('1486-03-00','1486-03-00', '1486-03-00',#7191,#7193,''); #152=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Nit.','Nit.','Nit.',#7191,#7196,''); #153=NEXT_ASSEMBLY_USAGE_OCCURRENCE('1486-03-00','1486-03-00', '1486-03-00',#7191,#7193,''); #154=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Nit.','Nit.','Nit.',#7191,#7196,''); #155=NEXT_ASSEMBLY_USAGE_OCCURRENCE('1486-03-00','1486-03-00', '1486-03-00',#7191,#7193,''); #156=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Nit.','Nit.','Nit.',#7191,#7196,''); #157=NEXT_ASSEMBLY_USAGE_OCCURRENCE('1486-03-00','1486-03-00', '1486-03-00',#7191,#7193,''); #158=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Nit.','Nit.','Nit.',#7191,#7196,''); #159=NEXT_ASSEMBLY_USAGE_OCCURRENCE('1486-03-00','1486-03-00', '1486-03-00',#7191,#7193,''); #160=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Nit.','Nit.','Nit.',#7191,#7196,''); #161=NEXT_ASSEMBLY_USAGE_OCCURRENCE('1486-03-00','1486-03-00', '1486-03-00',#7191,#7193,''); #162=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Nit.','Nit.','Nit.',#7191,#7196,''); #163=NEXT_ASSEMBLY_USAGE_OCCURRENCE('1486-03-00','1486-03-00', '1486-03-00',#7191,#7193,''); #164=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Nit.','Nit.','Nit.',#7191,#7196,''); #165=NEXT_ASSEMBLY_USAGE_OCCURRENCE('1486-03-00','1486-03-00', '1486-03-00',#7191,#7193,''); #166=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Nit.','Nit.','Nit.',#7191,#7196,''); #167=NEXT_ASSEMBLY_USAGE_OCCURRENCE('1486-03-00','1486-03-00', '1486-03-00',#7191,#7193,''); #168=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Nit.','Nit.','Nit.',#7191,#7196,''); #169=NEXT_ASSEMBLY_USAGE_OCCURRENCE('1486-03-00','1486-03-00', '1486-03-00',#7191,#7193,''); #170=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Nit.','Nit.','Nit.',#7191,#7196,''); #171=NEXT_ASSEMBLY_USAGE_OCCURRENCE('1486-03-00','1486-03-00', '1486-03-00',#7191,#7193,''); #172=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Nit.','Nit.','Nit.',#7191,#7196,''); #173=NEXT_ASSEMBLY_USAGE_OCCURRENCE('1486-03-00','1486-03-00', '1486-03-00',#7191,#7193,''); #174=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Nit.','Nit.','Nit.',#7191,#7196,''); #175=NEXT_ASSEMBLY_USAGE_OCCURRENCE('1486-03-00','1486-03-00', '1486-03-00',#7191,#7193,''); #176=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Nit.','Nit.','Nit.',#7191,#7196,''); #177=NEXT_ASSEMBLY_USAGE_OCCURRENCE('1486-03-00','1486-03-00', '1486-03-00',#7191,#7193,''); #178=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Nit.','Nit.','Nit.',#7191,#7196,''); #179=NEXT_ASSEMBLY_USAGE_OCCURRENCE('1486-03-00','1486-03-00', '1486-03-00',#7191,#7193,''); #180=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Nit.','Nit.','Nit.',#7191,#7196,''); #181=NEXT_ASSEMBLY_USAGE_OCCURRENCE('1486-03-00','1486-03-00', '1486-03-00',#7191,#7193,''); #182=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Nit.','Nit.','Nit.',#7191,#7196,''); #183=NEXT_ASSEMBLY_USAGE_OCCURRENCE('1486-03-00','1486-03-00', '1486-03-00',#7191,#7193,''); #184=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Nit.','Nit.','Nit.',#7191,#7196,''); #185=NEXT_ASSEMBLY_USAGE_OCCURRENCE('1486-03-00','1486-03-00', '1486-03-00',#7191,#7193,''); #186=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Nit.','Nit.','Nit.',#7191,#7196,''); #187=NEXT_ASSEMBLY_USAGE_OCCURRENCE('1486-03-00','1486-03-00', '1486-03-00',#7191,#7193,''); #188=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Nit.','Nit.','Nit.',#7191,#7196,''); #189=NEXT_ASSEMBLY_USAGE_OCCURRENCE('1486-03-00','1486-03-00', '1486-03-00',#7191,#7193,''); #190=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Nit.','Nit.','Nit.',#7191,#7196,''); #191=NEXT_ASSEMBLY_USAGE_OCCURRENCE('1486-03-00','1486-03-00', '1486-03-00',#7191,#7193,''); #192=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Nit.','Nit.','Nit.',#7191,#7196,''); #193=NEXT_ASSEMBLY_USAGE_OCCURRENCE('1486-03-00','1486-03-00', '1486-03-00',#7191,#7193,''); #194=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Nit.','Nit.','Nit.',#7191,#7196,''); #195=NEXT_ASSEMBLY_USAGE_OCCURRENCE('1486-03-00','1486-03-00', '1486-03-00',#7191,#7193,''); #196=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Nit.','Nit.','Nit.',#7191,#7196,''); #197=NEXT_ASSEMBLY_USAGE_OCCURRENCE('1486-03-00','1486-03-00', '1486-03-00',#7191,#7193,''); #198=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Nit.','Nit.','Nit.',#7191,#7196,''); #199=NEXT_ASSEMBLY_USAGE_OCCURRENCE('1486-03-00','1486-03-00', '1486-03-00',#7191,#7193,''); #200=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Nit.','Nit.','Nit.',#7191,#7196,''); #201=NEXT_ASSEMBLY_USAGE_OCCURRENCE('1486-03-00','1486-03-00', '1486-03-00',#7191,#7193,''); #202=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Nit.','Nit.','Nit.',#7191,#7196,''); #203=NEXT_ASSEMBLY_USAGE_OCCURRENCE('1486-03-00','1486-03-00', '1486-03-00',#7191,#7193,''); #204=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Nit.','Nit.','Nit.',#7191,#7196,''); #205=NEXT_ASSEMBLY_USAGE_OCCURRENCE('1486-03-00','1486-03-00', '1486-03-00',#7191,#7193,''); #206=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Nit.','Nit.','Nit.',#7191,#7196,''); #207=NEXT_ASSEMBLY_USAGE_OCCURRENCE('1486-03-00','1486-03-00', '1486-03-00',#7191,#7193,''); #208=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Nit.','Nit.','Nit.',#7191,#7196,''); #209=NEXT_ASSEMBLY_USAGE_OCCURRENCE('1486-03-00','1486-03-00', '1486-03-00',#7191,#7193,''); #210=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Nit.','Nit.','Nit.',#7191,#7196,''); #211=NEXT_ASSEMBLY_USAGE_OCCURRENCE('1486-03-00','1486-03-00', '1486-03-00',#7191,#7193,''); #212=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Nit.','Nit.','Nit.',#7191,#7196,''); #213=NEXT_ASSEMBLY_USAGE_OCCURRENCE('1486-03-00','1486-03-00', '1486-03-00',#7191,#7193,''); #214=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Nit.','Nit.','Nit.',#7191,#7196,''); #215=NEXT_ASSEMBLY_USAGE_OCCURRENCE('1486-03-00','1486-03-00', '1486-03-00',#7191,#7193,''); #216=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Nit.','Nit.','Nit.',#7191,#7196,''); #217=NEXT_ASSEMBLY_USAGE_OCCURRENCE('1486-03-00','1486-03-00', '1486-03-00',#7191,#7193,''); #218=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Nit.','Nit.','Nit.',#7191,#7196,''); #219=NEXT_ASSEMBLY_USAGE_OCCURRENCE('1486-03-00','1486-03-00', '1486-03-00',#7191,#7193,''); #220=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Nit.','Nit.','Nit.',#7191,#7196,''); #221=NEXT_ASSEMBLY_USAGE_OCCURRENCE('1486-03-00','1486-03-00', '1486-03-00',#7191,#7193,''); #222=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Nit.','Nit.','Nit.',#7191,#7196,''); #223=NEXT_ASSEMBLY_USAGE_OCCURRENCE('1486-03-00','1486-03-00', '1486-03-00',#7191,#7193,''); #224=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Nit.','Nit.','Nit.',#7191,#7196,''); #225=NEXT_ASSEMBLY_USAGE_OCCURRENCE('1486-03-00','1486-03-00', '1486-03-00',#7191,#7193,''); #226=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Nit.','Nit.','Nit.',#7191,#7196,''); #227=NEXT_ASSEMBLY_USAGE_OCCURRENCE('1486-03-00','1486-03-00', '1486-03-00',#7191,#7193,''); #228=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Nit.','Nit.','Nit.',#7191,#7196,''); #229=NEXT_ASSEMBLY_USAGE_OCCURRENCE('1486-03-00','1486-03-00', '1486-03-00',#7191,#7193,''); #230=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Nit.','Nit.','Nit.',#7191,#7196,''); #231=NEXT_ASSEMBLY_USAGE_OCCURRENCE('1486-03-00','1486-03-00', '1486-03-00',#7191,#7193,''); #232=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Nit.','Nit.','Nit.',#7191,#7196,''); #233=NEXT_ASSEMBLY_USAGE_OCCURRENCE('1486-03-00','1486-03-00', '1486-03-00',#7191,#7193,''); #234=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Nit.','Nit.','Nit.',#7191,#7196,''); #235=NEXT_ASSEMBLY_USAGE_OCCURRENCE('1486-03-00','1486-03-00', '1486-03-00',#7191,#7193,''); #236=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Nit.','Nit.','Nit.',#7191,#7196,''); #237=NEXT_ASSEMBLY_USAGE_OCCURRENCE('1486-03-00','1486-03-00', '1486-03-00',#7191,#7193,''); #238=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Nit.','Nit.','Nit.',#7191,#7196,''); #239=NEXT_ASSEMBLY_USAGE_OCCURRENCE('1486-03-00','1486-03-00', '1486-03-00',#7191,#7193,''); #240=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Nit.','Nit.','Nit.',#7191,#7196,''); #241=NEXT_ASSEMBLY_USAGE_OCCURRENCE('1486-03-00','1486-03-00', '1486-03-00',#7191,#7193,''); #242=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Nit.','Nit.','Nit.',#7191,#7196,''); #243=NEXT_ASSEMBLY_USAGE_OCCURRENCE('1486-03-00','1486-03-00', '1486-03-00',#7191,#7193,''); #244=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Nit.','Nit.','Nit.',#7191,#7196,''); #245=NEXT_ASSEMBLY_USAGE_OCCURRENCE('1486-03-00','1486-03-00', '1486-03-00',#7191,#7193,''); #246=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Nit.','Nit.','Nit.',#7191,#7196,''); #247=NEXT_ASSEMBLY_USAGE_OCCURRENCE('1486-03-00','1486-03-00', '1486-03-00',#7191,#7193,''); #248=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Nit.','Nit.','Nit.',#7191,#7196,''); #249=NEXT_ASSEMBLY_USAGE_OCCURRENCE('1486-03-00','1486-03-00', '1486-03-00',#7191,#7193,''); #250=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Nit.','Nit.','Nit.',#7191,#7196,''); #251=NEXT_ASSEMBLY_USAGE_OCCURRENCE('1486-03-00','1486-03-00', '1486-03-00',#7191,#7193,''); #252=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Nit.','Nit.','Nit.',#7191,#7196,''); #253=NEXT_ASSEMBLY_USAGE_OCCURRENCE('1486-03-00','1486-03-00', '1486-03-00',#7191,#7193,''); #254=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Nit.','Nit.','Nit.',#7191,#7196,''); #255=NEXT_ASSEMBLY_USAGE_OCCURRENCE('1486-03-00','1486-03-00', '1486-03-00',#7191,#7193,''); #256=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Nit.','Nit.','Nit.',#7191,#7196,''); #257=NEXT_ASSEMBLY_USAGE_OCCURRENCE('1486-03-00','1486-03-00', '1486-03-00',#7191,#7193,''); #258=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Nit.','Nit.','Nit.',#7191,#7196,''); #259=NEXT_ASSEMBLY_USAGE_OCCURRENCE('1486-03-00','1486-03-00', '1486-03-00',#7191,#7193,''); #260=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Nit.','Nit.','Nit.',#7191,#7196,''); #261=NEXT_ASSEMBLY_USAGE_OCCURRENCE('1486-03-00','1486-03-00', '1486-03-00',#7191,#7193,''); #262=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Nit.','Nit.','Nit.',#7191,#7196,''); #263=NEXT_ASSEMBLY_USAGE_OCCURRENCE('1486-03-00','1486-03-00', '1486-03-00',#7191,#7193,''); #264=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Nit.','Nit.','Nit.',#7191,#7196,''); #265=NEXT_ASSEMBLY_USAGE_OCCURRENCE('1486-03-00','1486-03-00', '1486-03-00',#7191,#7193,''); #266=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Nit.','Nit.','Nit.',#7191,#7196,''); #267=NEXT_ASSEMBLY_USAGE_OCCURRENCE('1486-03-00','1486-03-00', '1486-03-00',#7191,#7193,''); #268=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Nit.','Nit.','Nit.',#7191,#7196,''); #269=NEXT_ASSEMBLY_USAGE_OCCURRENCE('1486-03-00','1486-03-00', '1486-03-00',#7191,#7193,''); #270=NEXT_ASSEMBLY_USAGE_OCCURRENCE('Nit.','Nit.','Nit.',#7191,#7196,''); #271=( REPRESENTATION_RELATIONSHIP(' ',' ',#4069,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#394) SHAPE_REPRESENTATION_RELATIONSHIP() ); #272=( REPRESENTATION_RELATIONSHIP(' ',' ',#4071,#4072) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#395) SHAPE_REPRESENTATION_RELATIONSHIP() ); #273=( REPRESENTATION_RELATIONSHIP(' ',' ',#4073,#4072) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#396) SHAPE_REPRESENTATION_RELATIONSHIP() ); #274=( REPRESENTATION_RELATIONSHIP(' ',' ',#4072,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#397) SHAPE_REPRESENTATION_RELATIONSHIP() ); #275=( REPRESENTATION_RELATIONSHIP(' ',' ',#4074,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#398) SHAPE_REPRESENTATION_RELATIONSHIP() ); #276=( REPRESENTATION_RELATIONSHIP(' ',' ',#4072,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#399) SHAPE_REPRESENTATION_RELATIONSHIP() ); #277=( REPRESENTATION_RELATIONSHIP(' ',' ',#4074,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#400) SHAPE_REPRESENTATION_RELATIONSHIP() ); #278=( REPRESENTATION_RELATIONSHIP(' ',' ',#4072,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#401) SHAPE_REPRESENTATION_RELATIONSHIP() ); #279=( REPRESENTATION_RELATIONSHIP(' ',' ',#4074,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#402) SHAPE_REPRESENTATION_RELATIONSHIP() ); #280=( REPRESENTATION_RELATIONSHIP(' ',' ',#4072,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#403) SHAPE_REPRESENTATION_RELATIONSHIP() ); #281=( REPRESENTATION_RELATIONSHIP(' ',' ',#4074,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#404) SHAPE_REPRESENTATION_RELATIONSHIP() ); #282=( REPRESENTATION_RELATIONSHIP(' ',' ',#4072,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#405) SHAPE_REPRESENTATION_RELATIONSHIP() ); #283=( REPRESENTATION_RELATIONSHIP(' ',' ',#4074,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#406) SHAPE_REPRESENTATION_RELATIONSHIP() ); #284=( REPRESENTATION_RELATIONSHIP(' ',' ',#4072,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#407) SHAPE_REPRESENTATION_RELATIONSHIP() ); #285=( REPRESENTATION_RELATIONSHIP(' ',' ',#4074,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#408) SHAPE_REPRESENTATION_RELATIONSHIP() ); #286=( REPRESENTATION_RELATIONSHIP(' ',' ',#4072,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#409) SHAPE_REPRESENTATION_RELATIONSHIP() ); #287=( REPRESENTATION_RELATIONSHIP(' ',' ',#4074,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#410) SHAPE_REPRESENTATION_RELATIONSHIP() ); #288=( REPRESENTATION_RELATIONSHIP(' ',' ',#4072,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#411) SHAPE_REPRESENTATION_RELATIONSHIP() ); #289=( REPRESENTATION_RELATIONSHIP(' ',' ',#4074,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#412) SHAPE_REPRESENTATION_RELATIONSHIP() ); #290=( REPRESENTATION_RELATIONSHIP(' ',' ',#4072,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#413) SHAPE_REPRESENTATION_RELATIONSHIP() ); #291=( REPRESENTATION_RELATIONSHIP(' ',' ',#4074,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#414) SHAPE_REPRESENTATION_RELATIONSHIP() ); #292=( REPRESENTATION_RELATIONSHIP(' ',' ',#4072,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#415) SHAPE_REPRESENTATION_RELATIONSHIP() ); #293=( REPRESENTATION_RELATIONSHIP(' ',' ',#4074,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#416) SHAPE_REPRESENTATION_RELATIONSHIP() ); #294=( REPRESENTATION_RELATIONSHIP(' ',' ',#4072,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#417) SHAPE_REPRESENTATION_RELATIONSHIP() ); #295=( REPRESENTATION_RELATIONSHIP(' ',' ',#4074,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#418) SHAPE_REPRESENTATION_RELATIONSHIP() ); #296=( REPRESENTATION_RELATIONSHIP(' ',' ',#4072,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#419) SHAPE_REPRESENTATION_RELATIONSHIP() ); #297=( REPRESENTATION_RELATIONSHIP(' ',' ',#4074,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#420) SHAPE_REPRESENTATION_RELATIONSHIP() ); #298=( REPRESENTATION_RELATIONSHIP(' ',' ',#4072,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#421) SHAPE_REPRESENTATION_RELATIONSHIP() ); #299=( REPRESENTATION_RELATIONSHIP(' ',' ',#4074,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#422) SHAPE_REPRESENTATION_RELATIONSHIP() ); #300=( REPRESENTATION_RELATIONSHIP(' ',' ',#4072,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#423) SHAPE_REPRESENTATION_RELATIONSHIP() ); #301=( REPRESENTATION_RELATIONSHIP(' ',' ',#4074,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#424) SHAPE_REPRESENTATION_RELATIONSHIP() ); #302=( REPRESENTATION_RELATIONSHIP(' ',' ',#4072,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#425) SHAPE_REPRESENTATION_RELATIONSHIP() ); #303=( REPRESENTATION_RELATIONSHIP(' ',' ',#4074,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#426) SHAPE_REPRESENTATION_RELATIONSHIP() ); #304=( REPRESENTATION_RELATIONSHIP(' ',' ',#4072,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#427) SHAPE_REPRESENTATION_RELATIONSHIP() ); #305=( REPRESENTATION_RELATIONSHIP(' ',' ',#4074,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#428) SHAPE_REPRESENTATION_RELATIONSHIP() ); #306=( REPRESENTATION_RELATIONSHIP(' ',' ',#4072,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#429) SHAPE_REPRESENTATION_RELATIONSHIP() ); #307=( REPRESENTATION_RELATIONSHIP(' ',' ',#4074,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#430) SHAPE_REPRESENTATION_RELATIONSHIP() ); #308=( REPRESENTATION_RELATIONSHIP(' ',' ',#4072,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#431) SHAPE_REPRESENTATION_RELATIONSHIP() ); #309=( REPRESENTATION_RELATIONSHIP(' ',' ',#4074,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#432) SHAPE_REPRESENTATION_RELATIONSHIP() ); #310=( REPRESENTATION_RELATIONSHIP(' ',' ',#4072,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#433) SHAPE_REPRESENTATION_RELATIONSHIP() ); #311=( REPRESENTATION_RELATIONSHIP(' ',' ',#4074,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#434) SHAPE_REPRESENTATION_RELATIONSHIP() ); #312=( REPRESENTATION_RELATIONSHIP(' ',' ',#4072,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#435) SHAPE_REPRESENTATION_RELATIONSHIP() ); #313=( REPRESENTATION_RELATIONSHIP(' ',' ',#4074,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#436) SHAPE_REPRESENTATION_RELATIONSHIP() ); #314=( REPRESENTATION_RELATIONSHIP(' ',' ',#4072,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#437) SHAPE_REPRESENTATION_RELATIONSHIP() ); #315=( REPRESENTATION_RELATIONSHIP(' ',' ',#4074,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#438) SHAPE_REPRESENTATION_RELATIONSHIP() ); #316=( REPRESENTATION_RELATIONSHIP(' ',' ',#4072,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#439) SHAPE_REPRESENTATION_RELATIONSHIP() ); #317=( REPRESENTATION_RELATIONSHIP(' ',' ',#4074,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#440) SHAPE_REPRESENTATION_RELATIONSHIP() ); #318=( REPRESENTATION_RELATIONSHIP(' ',' ',#4072,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#441) SHAPE_REPRESENTATION_RELATIONSHIP() ); #319=( REPRESENTATION_RELATIONSHIP(' ',' ',#4074,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#442) SHAPE_REPRESENTATION_RELATIONSHIP() ); #320=( REPRESENTATION_RELATIONSHIP(' ',' ',#4072,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#443) SHAPE_REPRESENTATION_RELATIONSHIP() ); #321=( REPRESENTATION_RELATIONSHIP(' ',' ',#4074,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#444) SHAPE_REPRESENTATION_RELATIONSHIP() ); #322=( REPRESENTATION_RELATIONSHIP(' ',' ',#4072,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#445) SHAPE_REPRESENTATION_RELATIONSHIP() ); #323=( REPRESENTATION_RELATIONSHIP(' ',' ',#4074,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#446) SHAPE_REPRESENTATION_RELATIONSHIP() ); #324=( REPRESENTATION_RELATIONSHIP(' ',' ',#4072,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#447) SHAPE_REPRESENTATION_RELATIONSHIP() ); #325=( REPRESENTATION_RELATIONSHIP(' ',' ',#4074,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#448) SHAPE_REPRESENTATION_RELATIONSHIP() ); #326=( REPRESENTATION_RELATIONSHIP(' ',' ',#4072,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#449) SHAPE_REPRESENTATION_RELATIONSHIP() ); #327=( REPRESENTATION_RELATIONSHIP(' ',' ',#4074,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#450) SHAPE_REPRESENTATION_RELATIONSHIP() ); #328=( REPRESENTATION_RELATIONSHIP(' ',' ',#4072,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#451) SHAPE_REPRESENTATION_RELATIONSHIP() ); #329=( REPRESENTATION_RELATIONSHIP(' ',' ',#4074,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#452) SHAPE_REPRESENTATION_RELATIONSHIP() ); #330=( REPRESENTATION_RELATIONSHIP(' ',' ',#4072,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#453) SHAPE_REPRESENTATION_RELATIONSHIP() ); #331=( REPRESENTATION_RELATIONSHIP(' ',' ',#4074,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#454) SHAPE_REPRESENTATION_RELATIONSHIP() ); #332=( REPRESENTATION_RELATIONSHIP(' ',' ',#4072,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#455) SHAPE_REPRESENTATION_RELATIONSHIP() ); #333=( REPRESENTATION_RELATIONSHIP(' ',' ',#4074,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#456) SHAPE_REPRESENTATION_RELATIONSHIP() ); #334=( REPRESENTATION_RELATIONSHIP(' ',' ',#4072,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#457) SHAPE_REPRESENTATION_RELATIONSHIP() ); #335=( REPRESENTATION_RELATIONSHIP(' ',' ',#4074,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#458) SHAPE_REPRESENTATION_RELATIONSHIP() ); #336=( REPRESENTATION_RELATIONSHIP(' ',' ',#4072,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#459) SHAPE_REPRESENTATION_RELATIONSHIP() ); #337=( REPRESENTATION_RELATIONSHIP(' ',' ',#4074,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#460) SHAPE_REPRESENTATION_RELATIONSHIP() ); #338=( REPRESENTATION_RELATIONSHIP(' ',' ',#4072,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#461) SHAPE_REPRESENTATION_RELATIONSHIP() ); #339=( REPRESENTATION_RELATIONSHIP(' ',' ',#4074,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#462) SHAPE_REPRESENTATION_RELATIONSHIP() ); #340=( REPRESENTATION_RELATIONSHIP(' ',' ',#4072,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#463) SHAPE_REPRESENTATION_RELATIONSHIP() ); #341=( REPRESENTATION_RELATIONSHIP(' ',' ',#4074,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#464) SHAPE_REPRESENTATION_RELATIONSHIP() ); #342=( REPRESENTATION_RELATIONSHIP(' ',' ',#4072,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#465) SHAPE_REPRESENTATION_RELATIONSHIP() ); #343=( REPRESENTATION_RELATIONSHIP(' ',' ',#4074,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#466) SHAPE_REPRESENTATION_RELATIONSHIP() ); #344=( REPRESENTATION_RELATIONSHIP(' ',' ',#4072,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#467) SHAPE_REPRESENTATION_RELATIONSHIP() ); #345=( REPRESENTATION_RELATIONSHIP(' ',' ',#4074,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#468) SHAPE_REPRESENTATION_RELATIONSHIP() ); #346=( REPRESENTATION_RELATIONSHIP(' ',' ',#4072,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#469) SHAPE_REPRESENTATION_RELATIONSHIP() ); #347=( REPRESENTATION_RELATIONSHIP(' ',' ',#4074,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#470) SHAPE_REPRESENTATION_RELATIONSHIP() ); #348=( REPRESENTATION_RELATIONSHIP(' ',' ',#4072,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#471) SHAPE_REPRESENTATION_RELATIONSHIP() ); #349=( REPRESENTATION_RELATIONSHIP(' ',' ',#4074,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#472) SHAPE_REPRESENTATION_RELATIONSHIP() ); #350=( REPRESENTATION_RELATIONSHIP(' ',' ',#4072,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#473) SHAPE_REPRESENTATION_RELATIONSHIP() ); #351=( REPRESENTATION_RELATIONSHIP(' ',' ',#4074,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#474) SHAPE_REPRESENTATION_RELATIONSHIP() ); #352=( REPRESENTATION_RELATIONSHIP(' ',' ',#4072,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#475) SHAPE_REPRESENTATION_RELATIONSHIP() ); #353=( REPRESENTATION_RELATIONSHIP(' ',' ',#4074,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#476) SHAPE_REPRESENTATION_RELATIONSHIP() ); #354=( REPRESENTATION_RELATIONSHIP(' ',' ',#4072,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#477) SHAPE_REPRESENTATION_RELATIONSHIP() ); #355=( REPRESENTATION_RELATIONSHIP(' ',' ',#4074,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#478) SHAPE_REPRESENTATION_RELATIONSHIP() ); #356=( REPRESENTATION_RELATIONSHIP(' ',' ',#4072,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#479) SHAPE_REPRESENTATION_RELATIONSHIP() ); #357=( REPRESENTATION_RELATIONSHIP(' ',' ',#4074,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#480) SHAPE_REPRESENTATION_RELATIONSHIP() ); #358=( REPRESENTATION_RELATIONSHIP(' ',' ',#4072,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#481) SHAPE_REPRESENTATION_RELATIONSHIP() ); #359=( REPRESENTATION_RELATIONSHIP(' ',' ',#4074,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#482) SHAPE_REPRESENTATION_RELATIONSHIP() ); #360=( REPRESENTATION_RELATIONSHIP(' ',' ',#4072,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#483) SHAPE_REPRESENTATION_RELATIONSHIP() ); #361=( REPRESENTATION_RELATIONSHIP(' ',' ',#4074,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#484) SHAPE_REPRESENTATION_RELATIONSHIP() ); #362=( REPRESENTATION_RELATIONSHIP(' ',' ',#4072,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#485) SHAPE_REPRESENTATION_RELATIONSHIP() ); #363=( REPRESENTATION_RELATIONSHIP(' ',' ',#4074,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#486) SHAPE_REPRESENTATION_RELATIONSHIP() ); #364=( REPRESENTATION_RELATIONSHIP(' ',' ',#4072,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#487) SHAPE_REPRESENTATION_RELATIONSHIP() ); #365=( REPRESENTATION_RELATIONSHIP(' ',' ',#4074,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#488) SHAPE_REPRESENTATION_RELATIONSHIP() ); #366=( REPRESENTATION_RELATIONSHIP(' ',' ',#4072,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#489) SHAPE_REPRESENTATION_RELATIONSHIP() ); #367=( REPRESENTATION_RELATIONSHIP(' ',' ',#4074,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#490) SHAPE_REPRESENTATION_RELATIONSHIP() ); #368=( REPRESENTATION_RELATIONSHIP(' ',' ',#4072,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#491) SHAPE_REPRESENTATION_RELATIONSHIP() ); #369=( REPRESENTATION_RELATIONSHIP(' ',' ',#4074,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#492) SHAPE_REPRESENTATION_RELATIONSHIP() ); #370=( REPRESENTATION_RELATIONSHIP(' ',' ',#4072,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#493) SHAPE_REPRESENTATION_RELATIONSHIP() ); #371=( REPRESENTATION_RELATIONSHIP(' ',' ',#4074,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#494) SHAPE_REPRESENTATION_RELATIONSHIP() ); #372=( REPRESENTATION_RELATIONSHIP(' ',' ',#4072,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#495) SHAPE_REPRESENTATION_RELATIONSHIP() ); #373=( REPRESENTATION_RELATIONSHIP(' ',' ',#4074,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#496) SHAPE_REPRESENTATION_RELATIONSHIP() ); #374=( REPRESENTATION_RELATIONSHIP(' ',' ',#4072,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#497) SHAPE_REPRESENTATION_RELATIONSHIP() ); #375=( REPRESENTATION_RELATIONSHIP(' ',' ',#4074,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#498) SHAPE_REPRESENTATION_RELATIONSHIP() ); #376=( REPRESENTATION_RELATIONSHIP(' ',' ',#4072,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#499) SHAPE_REPRESENTATION_RELATIONSHIP() ); #377=( REPRESENTATION_RELATIONSHIP(' ',' ',#4074,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#500) SHAPE_REPRESENTATION_RELATIONSHIP() ); #378=( REPRESENTATION_RELATIONSHIP(' ',' ',#4072,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#501) SHAPE_REPRESENTATION_RELATIONSHIP() ); #379=( REPRESENTATION_RELATIONSHIP(' ',' ',#4074,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#502) SHAPE_REPRESENTATION_RELATIONSHIP() ); #380=( REPRESENTATION_RELATIONSHIP(' ',' ',#4072,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#503) SHAPE_REPRESENTATION_RELATIONSHIP() ); #381=( REPRESENTATION_RELATIONSHIP(' ',' ',#4074,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#504) SHAPE_REPRESENTATION_RELATIONSHIP() ); #382=( REPRESENTATION_RELATIONSHIP(' ',' ',#4072,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#505) SHAPE_REPRESENTATION_RELATIONSHIP() ); #383=( REPRESENTATION_RELATIONSHIP(' ',' ',#4074,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#506) SHAPE_REPRESENTATION_RELATIONSHIP() ); #384=( REPRESENTATION_RELATIONSHIP(' ',' ',#4072,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#507) SHAPE_REPRESENTATION_RELATIONSHIP() ); #385=( REPRESENTATION_RELATIONSHIP(' ',' ',#4074,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#508) SHAPE_REPRESENTATION_RELATIONSHIP() ); #386=( REPRESENTATION_RELATIONSHIP(' ',' ',#4072,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#509) SHAPE_REPRESENTATION_RELATIONSHIP() ); #387=( REPRESENTATION_RELATIONSHIP(' ',' ',#4074,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#510) SHAPE_REPRESENTATION_RELATIONSHIP() ); #388=( REPRESENTATION_RELATIONSHIP(' ',' ',#4072,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#511) SHAPE_REPRESENTATION_RELATIONSHIP() ); #389=( REPRESENTATION_RELATIONSHIP(' ',' ',#4074,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#512) SHAPE_REPRESENTATION_RELATIONSHIP() ); #390=( REPRESENTATION_RELATIONSHIP(' ',' ',#4072,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#513) SHAPE_REPRESENTATION_RELATIONSHIP() ); #391=( REPRESENTATION_RELATIONSHIP(' ',' ',#4074,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#514) SHAPE_REPRESENTATION_RELATIONSHIP() ); #392=( REPRESENTATION_RELATIONSHIP(' ',' ',#4072,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#515) SHAPE_REPRESENTATION_RELATIONSHIP() ); #393=( REPRESENTATION_RELATIONSHIP(' ',' ',#4074,#4070) REPRESENTATION_RELATIONSHIP_WITH_TRANSFORMATION(#516) SHAPE_REPRESENTATION_RELATIONSHIP() ); #394=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4551); #395=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4564); #396=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4577); #397=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4578); #398=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4598); #399=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4599); #400=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4600); #401=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4601); #402=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4602); #403=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4603); #404=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4604); #405=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4605); #406=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4606); #407=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4607); #408=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4608); #409=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4609); #410=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4610); #411=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4611); #412=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4612); #413=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4613); #414=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4614); #415=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4615); #416=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4616); #417=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4617); #418=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4618); #419=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4619); #420=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4620); #421=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4621); #422=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4622); #423=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4623); #424=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4624); #425=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4625); #426=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4626); #427=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4627); #428=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4628); #429=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4629); #430=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4630); #431=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4631); #432=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4632); #433=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4633); #434=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4634); #435=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4635); #436=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4636); #437=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4637); #438=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4638); #439=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4639); #440=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4640); #441=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4641); #442=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4642); #443=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4643); #444=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4644); #445=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4645); #446=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4646); #447=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4647); #448=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4648); #449=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4649); #450=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4650); #451=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4651); #452=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4652); #453=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4653); #454=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4654); #455=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4655); #456=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4656); #457=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4657); #458=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4658); #459=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4659); #460=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4660); #461=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4661); #462=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4662); #463=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4663); #464=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4664); #465=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4665); #466=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4666); #467=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4667); #468=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4668); #469=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4669); #470=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4670); #471=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4671); #472=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4672); #473=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4673); #474=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4674); #475=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4675); #476=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4676); #477=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4677); #478=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4678); #479=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4679); #480=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4680); #481=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4681); #482=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4682); #483=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4683); #484=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4684); #485=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4685); #486=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4686); #487=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4687); #488=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4688); #489=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4689); #490=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4690); #491=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4691); #492=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4692); #493=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4693); #494=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4694); #495=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4695); #496=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4696); #497=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4697); #498=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4698); #499=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4699); #500=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4700); #501=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4701); #502=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4702); #503=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4703); #504=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4704); #505=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4705); #506=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4706); #507=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4707); #508=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4708); #509=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4709); #510=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4710); #511=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4711); #512=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4712); #513=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4713); #514=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4714); #515=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4715); #516=ITEM_DEFINED_TRANSFORMATION(' ',' ',#4075,#4716); #517=SHAPE_REPRESENTATION_RELATIONSHIP('','',#4069,#521); #518=SHAPE_REPRESENTATION_RELATIONSHIP('','',#4071,#522); #519=SHAPE_REPRESENTATION_RELATIONSHIP('','',#4073,#523); #520=SHAPE_REPRESENTATION_RELATIONSHIP('','',#4074,#524); #521=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#4059),#7048); #522=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#4060),#7050); #523=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#4061),#7051); #524=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#4062),#7052); #525=CYLINDRICAL_SURFACE('',#4149,2.); #526=CYLINDRICAL_SURFACE('',#4182,2.); #527=CYLINDRICAL_SURFACE('',#4305,4.); #528=CYLINDRICAL_SURFACE('',#4338,4.); #529=CYLINDRICAL_SURFACE('',#4400,2.6); #530=CYLINDRICAL_SURFACE('',#4401,2.6); #531=CYLINDRICAL_SURFACE('',#4402,2.6); #532=CYLINDRICAL_SURFACE('',#4403,2.6); #533=CYLINDRICAL_SURFACE('',#4404,2.6); #534=CYLINDRICAL_SURFACE('',#4405,2.6); #535=CYLINDRICAL_SURFACE('',#4406,2.6); #536=CYLINDRICAL_SURFACE('',#4407,2.6); #537=CYLINDRICAL_SURFACE('',#4408,2.6); #538=CYLINDRICAL_SURFACE('',#4409,2.6); #539=CYLINDRICAL_SURFACE('',#4410,2.6); #540=CYLINDRICAL_SURFACE('',#4411,2.6); #541=CYLINDRICAL_SURFACE('',#4412,2.6); #542=CYLINDRICAL_SURFACE('',#4413,2.6); #543=CYLINDRICAL_SURFACE('',#4414,2.6); #544=CYLINDRICAL_SURFACE('',#4415,2.6); #545=CYLINDRICAL_SURFACE('',#4416,2.6); #546=CYLINDRICAL_SURFACE('',#4417,2.6); #547=CYLINDRICAL_SURFACE('',#4418,2.6); #548=CYLINDRICAL_SURFACE('',#4419,2.6); #549=CYLINDRICAL_SURFACE('',#4420,2.6); #550=CYLINDRICAL_SURFACE('',#4421,2.6); #551=CYLINDRICAL_SURFACE('',#4422,2.6); #552=CYLINDRICAL_SURFACE('',#4423,2.6); #553=CYLINDRICAL_SURFACE('',#4424,2.6); #554=CYLINDRICAL_SURFACE('',#4425,2.6); #555=CYLINDRICAL_SURFACE('',#4426,2.6); #556=CYLINDRICAL_SURFACE('',#4427,2.6); #557=CYLINDRICAL_SURFACE('',#4428,2.6); #558=CYLINDRICAL_SURFACE('',#4429,2.6); #559=CYLINDRICAL_SURFACE('',#4430,2.6); #560=CYLINDRICAL_SURFACE('',#4431,2.6); #561=CYLINDRICAL_SURFACE('',#4432,2.6); #562=CYLINDRICAL_SURFACE('',#4433,2.6); #563=CYLINDRICAL_SURFACE('',#4434,2.6); #564=CYLINDRICAL_SURFACE('',#4435,2.6); #565=CYLINDRICAL_SURFACE('',#4436,2.6); #566=CYLINDRICAL_SURFACE('',#4437,2.6); #567=CYLINDRICAL_SURFACE('',#4438,2.6); #568=CYLINDRICAL_SURFACE('',#4439,2.6); #569=CYLINDRICAL_SURFACE('',#4440,2.6); #570=CYLINDRICAL_SURFACE('',#4441,2.6); #571=CYLINDRICAL_SURFACE('',#4442,2.6); #572=CYLINDRICAL_SURFACE('',#4443,2.6); #573=CYLINDRICAL_SURFACE('',#4444,2.6); #574=CYLINDRICAL_SURFACE('',#4445,2.6); #575=CYLINDRICAL_SURFACE('',#4446,2.6); #576=CYLINDRICAL_SURFACE('',#4447,2.6); #577=CYLINDRICAL_SURFACE('',#4448,2.6); #578=CYLINDRICAL_SURFACE('',#4449,2.6); #579=CYLINDRICAL_SURFACE('',#4450,2.6); #580=CYLINDRICAL_SURFACE('',#4451,2.6); #581=CYLINDRICAL_SURFACE('',#4452,2.6); #582=CYLINDRICAL_SURFACE('',#4453,2.6); #583=CYLINDRICAL_SURFACE('',#4454,2.6); #584=CYLINDRICAL_SURFACE('',#4455,2.6); #585=CYLINDRICAL_SURFACE('',#4456,2.6); #586=CYLINDRICAL_SURFACE('',#4457,2.6); #587=CYLINDRICAL_SURFACE('',#4458,2.6); #588=CYLINDRICAL_SURFACE('',#4459,2.6); #589=CYLINDRICAL_SURFACE('',#4460,2.6); #590=CYLINDRICAL_SURFACE('',#4461,2.6); #591=CYLINDRICAL_SURFACE('',#4462,2.6); #592=CYLINDRICAL_SURFACE('',#4463,2.6); #593=CYLINDRICAL_SURFACE('',#4464,2.6); #594=CYLINDRICAL_SURFACE('',#4465,2.6); #595=CYLINDRICAL_SURFACE('',#4466,2.6); #596=CYLINDRICAL_SURFACE('',#4467,2.6); #597=CYLINDRICAL_SURFACE('',#4468,2.6); #598=CYLINDRICAL_SURFACE('',#4469,2.6); #599=CYLINDRICAL_SURFACE('',#4470,2.6); #600=CYLINDRICAL_SURFACE('',#4471,2.6); #601=CYLINDRICAL_SURFACE('',#4472,2.6); #602=CYLINDRICAL_SURFACE('',#4473,2.6); #603=CYLINDRICAL_SURFACE('',#4474,2.6); #604=CYLINDRICAL_SURFACE('',#4475,2.6); #605=CYLINDRICAL_SURFACE('',#4476,2.6); #606=CYLINDRICAL_SURFACE('',#4477,2.6); #607=CYLINDRICAL_SURFACE('',#4478,2.6); #608=CYLINDRICAL_SURFACE('',#4479,2.6); #609=CYLINDRICAL_SURFACE('',#4480,2.6); #610=CYLINDRICAL_SURFACE('',#4481,2.6); #611=CYLINDRICAL_SURFACE('',#4482,2.6); #612=CYLINDRICAL_SURFACE('',#4483,2.6); #613=CYLINDRICAL_SURFACE('',#4484,2.6); #614=CYLINDRICAL_SURFACE('',#4485,2.6); #615=CYLINDRICAL_SURFACE('',#4486,2.6); #616=CYLINDRICAL_SURFACE('',#4487,2.6); #617=CYLINDRICAL_SURFACE('',#4488,2.6); #618=CYLINDRICAL_SURFACE('',#4489,2.6); #619=CYLINDRICAL_SURFACE('',#4490,2.6); #620=CYLINDRICAL_SURFACE('',#4491,2.6); #621=CYLINDRICAL_SURFACE('',#4492,2.6); #622=CYLINDRICAL_SURFACE('',#4493,2.6); #623=CYLINDRICAL_SURFACE('',#4494,2.6); #624=CYLINDRICAL_SURFACE('',#4495,2.6); #625=CYLINDRICAL_SURFACE('',#4496,2.6); #626=CYLINDRICAL_SURFACE('',#4497,2.6); #627=CYLINDRICAL_SURFACE('',#4498,2.6); #628=CYLINDRICAL_SURFACE('',#4499,2.6); #629=CYLINDRICAL_SURFACE('',#4500,2.6); #630=CYLINDRICAL_SURFACE('',#4501,2.6); #631=CYLINDRICAL_SURFACE('',#4502,2.6); #632=CYLINDRICAL_SURFACE('',#4503,2.6); #633=CYLINDRICAL_SURFACE('',#4504,2.6); #634=CYLINDRICAL_SURFACE('',#4505,2.6); #635=CYLINDRICAL_SURFACE('',#4506,2.6); #636=CYLINDRICAL_SURFACE('',#4507,2.6); #637=CYLINDRICAL_SURFACE('',#4508,2.6); #638=CYLINDRICAL_SURFACE('',#4509,2.6); #639=CYLINDRICAL_SURFACE('',#4510,2.6); #640=CYLINDRICAL_SURFACE('',#4511,2.6); #641=CYLINDRICAL_SURFACE('',#4512,2.6); #642=CYLINDRICAL_SURFACE('',#4513,2.6); #643=CYLINDRICAL_SURFACE('',#4514,2.6); #644=CYLINDRICAL_SURFACE('',#4515,2.6); #645=CYLINDRICAL_SURFACE('',#4516,2.6); #646=CYLINDRICAL_SURFACE('',#4517,2.6); #647=CYLINDRICAL_SURFACE('',#4518,2.6); #648=CYLINDRICAL_SURFACE('',#4519,2.6); #649=CYLINDRICAL_SURFACE('',#4520,2.1); #650=CYLINDRICAL_SURFACE('',#4521,2.1); #651=CYLINDRICAL_SURFACE('',#4522,2.1); #652=CYLINDRICAL_SURFACE('',#4523,2.1); #653=CYLINDRICAL_SURFACE('',#4524,2.1); #654=CYLINDRICAL_SURFACE('',#4525,2.1); #655=CYLINDRICAL_SURFACE('',#4526,2.1); #656=CYLINDRICAL_SURFACE('',#4527,2.1); #657=CYLINDRICAL_SURFACE('',#4528,2.1); #658=CYLINDRICAL_SURFACE('',#4529,2.1); #659=CYLINDRICAL_SURFACE('',#4530,2.1); #660=CYLINDRICAL_SURFACE('',#4531,2.1); #661=CYLINDRICAL_SURFACE('',#4532,2.1); #662=CYLINDRICAL_SURFACE('',#4533,2.1); #663=CYLINDRICAL_SURFACE('',#4534,2.1); #664=CYLINDRICAL_SURFACE('',#4535,2.1); #665=CYLINDRICAL_SURFACE('',#4536,2.1); #666=CYLINDRICAL_SURFACE('',#4537,2.1); #667=CYLINDRICAL_SURFACE('',#4538,2.1); #668=CYLINDRICAL_SURFACE('',#4539,2.1); #669=CYLINDRICAL_SURFACE('',#4540,2.1); #670=CYLINDRICAL_SURFACE('',#4541,2.1); #671=CYLINDRICAL_SURFACE('',#4542,2.1); #672=CYLINDRICAL_SURFACE('',#4543,2.1); #673=CYLINDRICAL_SURFACE('',#4544,2.1); #674=CYLINDRICAL_SURFACE('',#4545,2.1); #675=CYLINDRICAL_SURFACE('',#4546,2.1); #676=CYLINDRICAL_SURFACE('',#4547,2.1); #677=CYLINDRICAL_SURFACE('',#4548,2.1); #678=CYLINDRICAL_SURFACE('',#4549,2.1); #679=CYLINDRICAL_SURFACE('',#4550,2.1); #680=CYLINDRICAL_SURFACE('',#4552,11.45); #681=CYLINDRICAL_SURFACE('',#4557,13.45); #682=CYLINDRICAL_SURFACE('',#4565,2.65); #683=CYLINDRICAL_SURFACE('',#4570,11.45); #684=CYLINDRICAL_SURFACE('',#4581,3.00000000000001); #685=CYLINDRICAL_SURFACE('',#4586,2.50000000000001); #686=CYLINDRICAL_SURFACE('',#4590,2.99999999999999); #687=CYLINDRICAL_SURFACE('',#4594,1.); #688=CIRCLE('',#4078,2.); #689=CIRCLE('',#4079,2.); #690=CIRCLE('',#4080,4.); #691=CIRCLE('',#4081,4.); #692=CIRCLE('',#4084,4.); #693=CIRCLE('',#4085,4.); #694=CIRCLE('',#4086,2.); #695=CIRCLE('',#4087,2.); #696=CIRCLE('',#4089,2.6); #697=CIRCLE('',#4090,2.6); #698=CIRCLE('',#4091,2.6); #699=CIRCLE('',#4092,2.6); #700=CIRCLE('',#4093,2.6); #701=CIRCLE('',#4094,2.6); #702=CIRCLE('',#4095,2.6); #703=CIRCLE('',#4096,2.6); #704=CIRCLE('',#4097,2.6); #705=CIRCLE('',#4098,2.6); #706=CIRCLE('',#4099,2.6); #707=CIRCLE('',#4100,2.6); #708=CIRCLE('',#4101,2.6); #709=CIRCLE('',#4102,2.6); #710=CIRCLE('',#4103,2.6); #711=CIRCLE('',#4104,2.6); #712=CIRCLE('',#4105,2.6); #713=CIRCLE('',#4106,2.6); #714=CIRCLE('',#4107,2.6); #715=CIRCLE('',#4108,2.6); #716=CIRCLE('',#4109,2.6); #717=CIRCLE('',#4110,2.6); #718=CIRCLE('',#4111,2.6); #719=CIRCLE('',#4112,2.6); #720=CIRCLE('',#4113,2.6); #721=CIRCLE('',#4114,2.6); #722=CIRCLE('',#4115,2.6); #723=CIRCLE('',#4116,2.6); #724=CIRCLE('',#4117,2.6); #725=CIRCLE('',#4118,2.6); #726=CIRCLE('',#4119,2.6); #727=CIRCLE('',#4120,2.6); #728=CIRCLE('',#4121,2.6); #729=CIRCLE('',#4122,2.6); #730=CIRCLE('',#4123,2.6); #731=CIRCLE('',#4124,2.6); #732=CIRCLE('',#4125,2.6); #733=CIRCLE('',#4126,2.6); #734=CIRCLE('',#4127,2.6); #735=CIRCLE('',#4128,2.6); #736=CIRCLE('',#4129,2.6); #737=CIRCLE('',#4130,2.6); #738=CIRCLE('',#4131,2.6); #739=CIRCLE('',#4132,2.6); #740=CIRCLE('',#4133,2.6); #741=CIRCLE('',#4134,2.6); #742=CIRCLE('',#4135,2.6); #743=CIRCLE('',#4136,2.6); #744=CIRCLE('',#4137,2.6); #745=CIRCLE('',#4138,2.6); #746=CIRCLE('',#4139,2.6); #747=CIRCLE('',#4140,2.6); #748=CIRCLE('',#4141,2.6); #749=CIRCLE('',#4142,2.6); #750=CIRCLE('',#4143,2.6); #751=CIRCLE('',#4144,2.6); #752=CIRCLE('',#4145,2.6); #753=CIRCLE('',#4146,2.6); #754=CIRCLE('',#4147,2.6); #755=CIRCLE('',#4148,2.6); #756=CIRCLE('',#4151,2.1); #757=CIRCLE('',#4152,2.1); #758=CIRCLE('',#4153,2.1); #759=CIRCLE('',#4154,2.1); #760=CIRCLE('',#4155,2.1); #761=CIRCLE('',#4156,2.1); #762=CIRCLE('',#4157,2.1); #763=CIRCLE('',#4158,2.1); #764=CIRCLE('',#4159,2.1); #765=CIRCLE('',#4160,2.1); #766=CIRCLE('',#4161,2.1); #767=CIRCLE('',#4162,2.1); #768=CIRCLE('',#4163,2.1); #769=CIRCLE('',#4164,2.1); #770=CIRCLE('',#4165,2.1); #771=CIRCLE('',#4166,2.1); #772=CIRCLE('',#4167,2.1); #773=CIRCLE('',#4168,2.1); #774=CIRCLE('',#4169,2.1); #775=CIRCLE('',#4170,2.1); #776=CIRCLE('',#4171,2.1); #777=CIRCLE('',#4172,2.1); #778=CIRCLE('',#4173,2.1); #779=CIRCLE('',#4174,2.1); #780=CIRCLE('',#4175,2.1); #781=CIRCLE('',#4176,2.1); #782=CIRCLE('',#4177,2.1); #783=CIRCLE('',#4178,2.1); #784=CIRCLE('',#4179,2.1); #785=CIRCLE('',#4180,2.1); #786=CIRCLE('',#4181,2.1); #787=CIRCLE('',#4184,2.6); #788=CIRCLE('',#4185,2.6); #789=CIRCLE('',#4186,2.6); #790=CIRCLE('',#4187,2.6); #791=CIRCLE('',#4188,2.6); #792=CIRCLE('',#4189,2.6); #793=CIRCLE('',#4190,2.6); #794=CIRCLE('',#4191,2.6); #795=CIRCLE('',#4192,2.6); #796=CIRCLE('',#4193,2.6); #797=CIRCLE('',#4194,2.6); #798=CIRCLE('',#4195,2.6); #799=CIRCLE('',#4196,2.6); #800=CIRCLE('',#4197,2.6); #801=CIRCLE('',#4198,2.6); #802=CIRCLE('',#4199,2.6); #803=CIRCLE('',#4200,2.6); #804=CIRCLE('',#4201,2.6); #805=CIRCLE('',#4202,2.6); #806=CIRCLE('',#4203,2.6); #807=CIRCLE('',#4204,2.6); #808=CIRCLE('',#4205,2.6); #809=CIRCLE('',#4206,2.6); #810=CIRCLE('',#4207,2.6); #811=CIRCLE('',#4208,2.6); #812=CIRCLE('',#4209,2.6); #813=CIRCLE('',#4210,2.6); #814=CIRCLE('',#4211,2.6); #815=CIRCLE('',#4212,2.6); #816=CIRCLE('',#4213,2.6); #817=CIRCLE('',#4214,2.6); #818=CIRCLE('',#4215,2.6); #819=CIRCLE('',#4216,2.6); #820=CIRCLE('',#4217,2.6); #821=CIRCLE('',#4218,2.6); #822=CIRCLE('',#4219,2.6); #823=CIRCLE('',#4220,2.6); #824=CIRCLE('',#4221,2.6); #825=CIRCLE('',#4222,2.6); #826=CIRCLE('',#4223,2.6); #827=CIRCLE('',#4224,2.6); #828=CIRCLE('',#4225,2.6); #829=CIRCLE('',#4226,2.6); #830=CIRCLE('',#4227,2.6); #831=CIRCLE('',#4228,2.6); #832=CIRCLE('',#4229,2.6); #833=CIRCLE('',#4230,2.6); #834=CIRCLE('',#4231,2.6); #835=CIRCLE('',#4232,2.6); #836=CIRCLE('',#4233,2.6); #837=CIRCLE('',#4234,2.6); #838=CIRCLE('',#4235,2.6); #839=CIRCLE('',#4236,2.6); #840=CIRCLE('',#4237,2.6); #841=CIRCLE('',#4238,2.6); #842=CIRCLE('',#4239,2.6); #843=CIRCLE('',#4240,2.6); #844=CIRCLE('',#4241,2.6); #845=CIRCLE('',#4242,2.6); #846=CIRCLE('',#4243,2.6); #847=CIRCLE('',#4245,2.6); #848=CIRCLE('',#4246,2.6); #849=CIRCLE('',#4247,2.6); #850=CIRCLE('',#4248,2.6); #851=CIRCLE('',#4249,2.6); #852=CIRCLE('',#4250,2.6); #853=CIRCLE('',#4251,2.6); #854=CIRCLE('',#4252,2.6); #855=CIRCLE('',#4253,2.6); #856=CIRCLE('',#4254,2.6); #857=CIRCLE('',#4255,2.6); #858=CIRCLE('',#4256,2.6); #859=CIRCLE('',#4257,2.6); #860=CIRCLE('',#4258,2.6); #861=CIRCLE('',#4259,2.6); #862=CIRCLE('',#4260,2.6); #863=CIRCLE('',#4261,2.6); #864=CIRCLE('',#4262,2.6); #865=CIRCLE('',#4263,2.6); #866=CIRCLE('',#4264,2.6); #867=CIRCLE('',#4265,2.6); #868=CIRCLE('',#4266,2.6); #869=CIRCLE('',#4267,2.6); #870=CIRCLE('',#4268,2.6); #871=CIRCLE('',#4269,2.6); #872=CIRCLE('',#4270,2.6); #873=CIRCLE('',#4271,2.6); #874=CIRCLE('',#4272,2.6); #875=CIRCLE('',#4273,2.6); #876=CIRCLE('',#4274,2.6); #877=CIRCLE('',#4275,2.6); #878=CIRCLE('',#4276,2.6); #879=CIRCLE('',#4277,2.6); #880=CIRCLE('',#4278,2.6); #881=CIRCLE('',#4279,2.6); #882=CIRCLE('',#4280,2.6); #883=CIRCLE('',#4281,2.6); #884=CIRCLE('',#4282,2.6); #885=CIRCLE('',#4283,2.6); #886=CIRCLE('',#4284,2.6); #887=CIRCLE('',#4285,2.6); #888=CIRCLE('',#4286,2.6); #889=CIRCLE('',#4287,2.6); #890=CIRCLE('',#4288,2.6); #891=CIRCLE('',#4289,2.6); #892=CIRCLE('',#4290,2.6); #893=CIRCLE('',#4291,2.6); #894=CIRCLE('',#4292,2.6); #895=CIRCLE('',#4293,2.6); #896=CIRCLE('',#4294,2.6); #897=CIRCLE('',#4295,2.6); #898=CIRCLE('',#4296,2.6); #899=CIRCLE('',#4297,2.6); #900=CIRCLE('',#4298,2.6); #901=CIRCLE('',#4299,2.6); #902=CIRCLE('',#4300,2.6); #903=CIRCLE('',#4301,2.6); #904=CIRCLE('',#4302,2.6); #905=CIRCLE('',#4303,2.6); #906=CIRCLE('',#4304,2.6); #907=CIRCLE('',#4307,2.1); #908=CIRCLE('',#4308,2.1); #909=CIRCLE('',#4309,2.1); #910=CIRCLE('',#4310,2.1); #911=CIRCLE('',#4311,2.1); #912=CIRCLE('',#4312,2.1); #913=CIRCLE('',#4313,2.1); #914=CIRCLE('',#4314,2.1); #915=CIRCLE('',#4315,2.1); #916=CIRCLE('',#4316,2.1); #917=CIRCLE('',#4317,2.1); #918=CIRCLE('',#4318,2.1); #919=CIRCLE('',#4319,2.1); #920=CIRCLE('',#4320,2.1); #921=CIRCLE('',#4321,2.1); #922=CIRCLE('',#4322,2.1); #923=CIRCLE('',#4323,2.1); #924=CIRCLE('',#4324,2.1); #925=CIRCLE('',#4325,2.1); #926=CIRCLE('',#4326,2.1); #927=CIRCLE('',#4327,2.1); #928=CIRCLE('',#4328,2.1); #929=CIRCLE('',#4329,2.1); #930=CIRCLE('',#4330,2.1); #931=CIRCLE('',#4331,2.1); #932=CIRCLE('',#4332,2.1); #933=CIRCLE('',#4333,2.1); #934=CIRCLE('',#4334,2.1); #935=CIRCLE('',#4335,2.1); #936=CIRCLE('',#4336,2.1); #937=CIRCLE('',#4337,2.1); #938=CIRCLE('',#4340,2.6); #939=CIRCLE('',#4341,2.6); #940=CIRCLE('',#4342,2.6); #941=CIRCLE('',#4343,2.6); #942=CIRCLE('',#4344,2.6); #943=CIRCLE('',#4345,2.6); #944=CIRCLE('',#4346,2.6); #945=CIRCLE('',#4347,2.6); #946=CIRCLE('',#4348,2.6); #947=CIRCLE('',#4349,2.6); #948=CIRCLE('',#4350,2.6); #949=CIRCLE('',#4351,2.6); #950=CIRCLE('',#4352,2.6); #951=CIRCLE('',#4353,2.6); #952=CIRCLE('',#4354,2.6); #953=CIRCLE('',#4355,2.6); #954=CIRCLE('',#4356,2.6); #955=CIRCLE('',#4357,2.6); #956=CIRCLE('',#4358,2.6); #957=CIRCLE('',#4359,2.6); #958=CIRCLE('',#4360,2.6); #959=CIRCLE('',#4361,2.6); #960=CIRCLE('',#4362,2.6); #961=CIRCLE('',#4363,2.6); #962=CIRCLE('',#4364,2.6); #963=CIRCLE('',#4365,2.6); #964=CIRCLE('',#4366,2.6); #965=CIRCLE('',#4367,2.6); #966=CIRCLE('',#4368,2.6); #967=CIRCLE('',#4369,2.6); #968=CIRCLE('',#4370,2.6); #969=CIRCLE('',#4371,2.6); #970=CIRCLE('',#4372,2.6); #971=CIRCLE('',#4373,2.6); #972=CIRCLE('',#4374,2.6); #973=CIRCLE('',#4375,2.6); #974=CIRCLE('',#4376,2.6); #975=CIRCLE('',#4377,2.6); #976=CIRCLE('',#4378,2.6); #977=CIRCLE('',#4379,2.6); #978=CIRCLE('',#4380,2.6); #979=CIRCLE('',#4381,2.6); #980=CIRCLE('',#4382,2.6); #981=CIRCLE('',#4383,2.6); #982=CIRCLE('',#4384,2.6); #983=CIRCLE('',#4385,2.6); #984=CIRCLE('',#4386,2.6); #985=CIRCLE('',#4387,2.6); #986=CIRCLE('',#4388,2.6); #987=CIRCLE('',#4389,2.6); #988=CIRCLE('',#4390,2.6); #989=CIRCLE('',#4391,2.6); #990=CIRCLE('',#4392,2.6); #991=CIRCLE('',#4393,2.6); #992=CIRCLE('',#4394,2.6); #993=CIRCLE('',#4395,2.6); #994=CIRCLE('',#4396,2.6); #995=CIRCLE('',#4397,2.6); #996=CIRCLE('',#4398,2.6); #997=CIRCLE('',#4399,2.6); #998=CIRCLE('',#4553,11.45); #999=CIRCLE('',#4554,11.45); #1000=CIRCLE('',#4556,12.95); #1001=CIRCLE('',#4558,13.45); #1002=CIRCLE('',#4559,13.45); #1003=CIRCLE('',#4561,12.95); #1004=CIRCLE('',#4566,2.65); #1005=CIRCLE('',#4567,2.65); #1006=CIRCLE('',#4569,3.45); #1007=CIRCLE('',#4571,11.45); #1008=CIRCLE('',#4572,11.45); #1009=CIRCLE('',#4574,3.44999999999989); #1010=CIRCLE('',#4580,2.80000000000001); #1011=CIRCLE('',#4582,3.00000000000001); #1012=CIRCLE('',#4583,3.00000000000001); #1013=CIRCLE('',#4585,2.50000000000001); #1014=CIRCLE('',#4587,2.50000000000001); #1015=CIRCLE('',#4589,2.99999999999999); #1016=CIRCLE('',#4591,2.99999999999999); #1017=CIRCLE('',#4593,1.); #1018=CIRCLE('',#4595,1.); #1019=ORIENTED_EDGE('',*,*,#1737,.F.); #1020=ORIENTED_EDGE('',*,*,#1738,.F.); #1021=ORIENTED_EDGE('',*,*,#1739,.T.); #1022=ORIENTED_EDGE('',*,*,#1740,.T.); #1023=ORIENTED_EDGE('',*,*,#1741,.T.); #1024=ORIENTED_EDGE('',*,*,#1742,.T.); #1025=ORIENTED_EDGE('',*,*,#1743,.T.); #1026=ORIENTED_EDGE('',*,*,#1744,.T.); #1027=ORIENTED_EDGE('',*,*,#1745,.T.); #1028=ORIENTED_EDGE('',*,*,#1739,.F.); #1029=ORIENTED_EDGE('',*,*,#1746,.F.); #1030=ORIENTED_EDGE('',*,*,#1747,.F.); #1031=ORIENTED_EDGE('',*,*,#1748,.F.); #1032=ORIENTED_EDGE('',*,*,#1749,.F.); #1033=ORIENTED_EDGE('',*,*,#1750,.F.); #1034=ORIENTED_EDGE('',*,*,#1751,.T.); #1035=ORIENTED_EDGE('',*,*,#1751,.F.); #1036=ORIENTED_EDGE('',*,*,#1752,.T.); #1037=ORIENTED_EDGE('',*,*,#1753,.T.); #1038=ORIENTED_EDGE('',*,*,#1754,.F.); #1039=ORIENTED_EDGE('',*,*,#1755,.T.); #1040=ORIENTED_EDGE('',*,*,#1756,.T.); #1041=ORIENTED_EDGE('',*,*,#1757,.T.); #1042=ORIENTED_EDGE('',*,*,#1758,.T.); #1043=ORIENTED_EDGE('',*,*,#1737,.T.); #1044=ORIENTED_EDGE('',*,*,#1759,.F.); #1045=ORIENTED_EDGE('',*,*,#1760,.F.); #1046=ORIENTED_EDGE('',*,*,#1761,.F.); #1047=ORIENTED_EDGE('',*,*,#1762,.F.); #1048=ORIENTED_EDGE('',*,*,#1763,.F.); #1049=ORIENTED_EDGE('',*,*,#1753,.F.); #1050=ORIENTED_EDGE('',*,*,#1764,.T.); #1051=ORIENTED_EDGE('',*,*,#1765,.T.); #1052=ORIENTED_EDGE('',*,*,#1766,.T.); #1053=ORIENTED_EDGE('',*,*,#1767,.T.); #1054=ORIENTED_EDGE('',*,*,#1768,.T.); #1055=ORIENTED_EDGE('',*,*,#1769,.T.); #1056=ORIENTED_EDGE('',*,*,#1770,.T.); #1057=ORIENTED_EDGE('',*,*,#1771,.T.); #1058=ORIENTED_EDGE('',*,*,#1772,.T.); #1059=ORIENTED_EDGE('',*,*,#1773,.T.); #1060=ORIENTED_EDGE('',*,*,#1774,.T.); #1061=ORIENTED_EDGE('',*,*,#1775,.T.); #1062=ORIENTED_EDGE('',*,*,#1776,.T.); #1063=ORIENTED_EDGE('',*,*,#1777,.T.); #1064=ORIENTED_EDGE('',*,*,#1778,.T.); #1065=ORIENTED_EDGE('',*,*,#1779,.T.); #1066=ORIENTED_EDGE('',*,*,#1780,.T.); #1067=ORIENTED_EDGE('',*,*,#1781,.T.); #1068=ORIENTED_EDGE('',*,*,#1782,.T.); #1069=ORIENTED_EDGE('',*,*,#1783,.T.); #1070=ORIENTED_EDGE('',*,*,#1784,.T.); #1071=ORIENTED_EDGE('',*,*,#1785,.T.); #1072=ORIENTED_EDGE('',*,*,#1786,.T.); #1073=ORIENTED_EDGE('',*,*,#1787,.T.); #1074=ORIENTED_EDGE('',*,*,#1788,.T.); #1075=ORIENTED_EDGE('',*,*,#1789,.T.); #1076=ORIENTED_EDGE('',*,*,#1790,.T.); #1077=ORIENTED_EDGE('',*,*,#1791,.T.); #1078=ORIENTED_EDGE('',*,*,#1792,.T.); #1079=ORIENTED_EDGE('',*,*,#1793,.T.); #1080=ORIENTED_EDGE('',*,*,#1794,.T.); #1081=ORIENTED_EDGE('',*,*,#1795,.T.); #1082=ORIENTED_EDGE('',*,*,#1796,.T.); #1083=ORIENTED_EDGE('',*,*,#1797,.T.); #1084=ORIENTED_EDGE('',*,*,#1798,.T.); #1085=ORIENTED_EDGE('',*,*,#1799,.T.); #1086=ORIENTED_EDGE('',*,*,#1800,.T.); #1087=ORIENTED_EDGE('',*,*,#1801,.T.); #1088=ORIENTED_EDGE('',*,*,#1802,.T.); #1089=ORIENTED_EDGE('',*,*,#1803,.T.); #1090=ORIENTED_EDGE('',*,*,#1804,.T.); #1091=ORIENTED_EDGE('',*,*,#1805,.T.); #1092=ORIENTED_EDGE('',*,*,#1806,.T.); #1093=ORIENTED_EDGE('',*,*,#1807,.T.); #1094=ORIENTED_EDGE('',*,*,#1808,.T.); #1095=ORIENTED_EDGE('',*,*,#1809,.T.); #1096=ORIENTED_EDGE('',*,*,#1810,.T.); #1097=ORIENTED_EDGE('',*,*,#1811,.T.); #1098=ORIENTED_EDGE('',*,*,#1812,.T.); #1099=ORIENTED_EDGE('',*,*,#1813,.T.); #1100=ORIENTED_EDGE('',*,*,#1814,.T.); #1101=ORIENTED_EDGE('',*,*,#1815,.T.); #1102=ORIENTED_EDGE('',*,*,#1816,.T.); #1103=ORIENTED_EDGE('',*,*,#1817,.T.); #1104=ORIENTED_EDGE('',*,*,#1818,.T.); #1105=ORIENTED_EDGE('',*,*,#1819,.T.); #1106=ORIENTED_EDGE('',*,*,#1820,.T.); #1107=ORIENTED_EDGE('',*,*,#1821,.T.); #1108=ORIENTED_EDGE('',*,*,#1822,.T.); #1109=ORIENTED_EDGE('',*,*,#1823,.T.); #1110=ORIENTED_EDGE('',*,*,#1824,.T.); #1111=ORIENTED_EDGE('',*,*,#1763,.T.); #1112=ORIENTED_EDGE('',*,*,#1825,.F.); #1113=ORIENTED_EDGE('',*,*,#1741,.F.); #1114=ORIENTED_EDGE('',*,*,#1754,.T.); #1115=ORIENTED_EDGE('',*,*,#1762,.T.); #1116=ORIENTED_EDGE('',*,*,#1826,.F.); #1117=ORIENTED_EDGE('',*,*,#1742,.F.); #1118=ORIENTED_EDGE('',*,*,#1825,.T.); #1119=ORIENTED_EDGE('',*,*,#1827,.T.); #1120=ORIENTED_EDGE('',*,*,#1828,.T.); #1121=ORIENTED_EDGE('',*,*,#1829,.T.); #1122=ORIENTED_EDGE('',*,*,#1830,.T.); #1123=ORIENTED_EDGE('',*,*,#1831,.T.); #1124=ORIENTED_EDGE('',*,*,#1832,.T.); #1125=ORIENTED_EDGE('',*,*,#1833,.T.); #1126=ORIENTED_EDGE('',*,*,#1834,.T.); #1127=ORIENTED_EDGE('',*,*,#1835,.T.); #1128=ORIENTED_EDGE('',*,*,#1836,.T.); #1129=ORIENTED_EDGE('',*,*,#1837,.T.); #1130=ORIENTED_EDGE('',*,*,#1838,.T.); #1131=ORIENTED_EDGE('',*,*,#1839,.T.); #1132=ORIENTED_EDGE('',*,*,#1840,.T.); #1133=ORIENTED_EDGE('',*,*,#1841,.T.); #1134=ORIENTED_EDGE('',*,*,#1842,.T.); #1135=ORIENTED_EDGE('',*,*,#1843,.T.); #1136=ORIENTED_EDGE('',*,*,#1844,.T.); #1137=ORIENTED_EDGE('',*,*,#1845,.T.); #1138=ORIENTED_EDGE('',*,*,#1846,.T.); #1139=ORIENTED_EDGE('',*,*,#1847,.T.); #1140=ORIENTED_EDGE('',*,*,#1848,.T.); #1141=ORIENTED_EDGE('',*,*,#1849,.T.); #1142=ORIENTED_EDGE('',*,*,#1850,.T.); #1143=ORIENTED_EDGE('',*,*,#1851,.T.); #1144=ORIENTED_EDGE('',*,*,#1852,.T.); #1145=ORIENTED_EDGE('',*,*,#1853,.T.); #1146=ORIENTED_EDGE('',*,*,#1854,.T.); #1147=ORIENTED_EDGE('',*,*,#1855,.T.); #1148=ORIENTED_EDGE('',*,*,#1856,.T.); #1149=ORIENTED_EDGE('',*,*,#1857,.F.); #1150=ORIENTED_EDGE('',*,*,#1761,.T.); #1151=ORIENTED_EDGE('',*,*,#1858,.F.); #1152=ORIENTED_EDGE('',*,*,#1743,.F.); #1153=ORIENTED_EDGE('',*,*,#1826,.T.); #1154=ORIENTED_EDGE('',*,*,#1760,.T.); #1155=ORIENTED_EDGE('',*,*,#1859,.F.); #1156=ORIENTED_EDGE('',*,*,#1744,.F.); #1157=ORIENTED_EDGE('',*,*,#1858,.T.); #1158=ORIENTED_EDGE('',*,*,#1860,.T.); #1159=ORIENTED_EDGE('',*,*,#1861,.T.); #1160=ORIENTED_EDGE('',*,*,#1862,.T.); #1161=ORIENTED_EDGE('',*,*,#1863,.T.); #1162=ORIENTED_EDGE('',*,*,#1864,.T.); #1163=ORIENTED_EDGE('',*,*,#1865,.T.); #1164=ORIENTED_EDGE('',*,*,#1866,.T.); #1165=ORIENTED_EDGE('',*,*,#1867,.T.); #1166=ORIENTED_EDGE('',*,*,#1868,.T.); #1167=ORIENTED_EDGE('',*,*,#1869,.T.); #1168=ORIENTED_EDGE('',*,*,#1870,.T.); #1169=ORIENTED_EDGE('',*,*,#1871,.T.); #1170=ORIENTED_EDGE('',*,*,#1872,.T.); #1171=ORIENTED_EDGE('',*,*,#1873,.T.); #1172=ORIENTED_EDGE('',*,*,#1874,.T.); #1173=ORIENTED_EDGE('',*,*,#1875,.T.); #1174=ORIENTED_EDGE('',*,*,#1876,.T.); #1175=ORIENTED_EDGE('',*,*,#1877,.T.); #1176=ORIENTED_EDGE('',*,*,#1878,.T.); #1177=ORIENTED_EDGE('',*,*,#1879,.T.); #1178=ORIENTED_EDGE('',*,*,#1880,.T.); #1179=ORIENTED_EDGE('',*,*,#1881,.T.); #1180=ORIENTED_EDGE('',*,*,#1882,.T.); #1181=ORIENTED_EDGE('',*,*,#1883,.T.); #1182=ORIENTED_EDGE('',*,*,#1884,.T.); #1183=ORIENTED_EDGE('',*,*,#1885,.T.); #1184=ORIENTED_EDGE('',*,*,#1886,.T.); #1185=ORIENTED_EDGE('',*,*,#1887,.T.); #1186=ORIENTED_EDGE('',*,*,#1888,.T.); #1187=ORIENTED_EDGE('',*,*,#1889,.T.); #1188=ORIENTED_EDGE('',*,*,#1890,.T.); #1189=ORIENTED_EDGE('',*,*,#1891,.T.); #1190=ORIENTED_EDGE('',*,*,#1892,.T.); #1191=ORIENTED_EDGE('',*,*,#1893,.T.); #1192=ORIENTED_EDGE('',*,*,#1894,.T.); #1193=ORIENTED_EDGE('',*,*,#1895,.T.); #1194=ORIENTED_EDGE('',*,*,#1896,.T.); #1195=ORIENTED_EDGE('',*,*,#1897,.T.); #1196=ORIENTED_EDGE('',*,*,#1898,.T.); #1197=ORIENTED_EDGE('',*,*,#1899,.T.); #1198=ORIENTED_EDGE('',*,*,#1900,.T.); #1199=ORIENTED_EDGE('',*,*,#1901,.T.); #1200=ORIENTED_EDGE('',*,*,#1902,.T.); #1201=ORIENTED_EDGE('',*,*,#1903,.T.); #1202=ORIENTED_EDGE('',*,*,#1904,.T.); #1203=ORIENTED_EDGE('',*,*,#1905,.T.); #1204=ORIENTED_EDGE('',*,*,#1906,.T.); #1205=ORIENTED_EDGE('',*,*,#1907,.T.); #1206=ORIENTED_EDGE('',*,*,#1908,.T.); #1207=ORIENTED_EDGE('',*,*,#1909,.T.); #1208=ORIENTED_EDGE('',*,*,#1910,.T.); #1209=ORIENTED_EDGE('',*,*,#1911,.T.); #1210=ORIENTED_EDGE('',*,*,#1912,.T.); #1211=ORIENTED_EDGE('',*,*,#1913,.T.); #1212=ORIENTED_EDGE('',*,*,#1914,.T.); #1213=ORIENTED_EDGE('',*,*,#1915,.T.); #1214=ORIENTED_EDGE('',*,*,#1916,.T.); #1215=ORIENTED_EDGE('',*,*,#1917,.T.); #1216=ORIENTED_EDGE('',*,*,#1918,.T.); #1217=ORIENTED_EDGE('',*,*,#1919,.T.); #1218=ORIENTED_EDGE('',*,*,#1759,.T.); #1219=ORIENTED_EDGE('',*,*,#1740,.F.); #1220=ORIENTED_EDGE('',*,*,#1745,.F.); #1221=ORIENTED_EDGE('',*,*,#1859,.T.); #1222=ORIENTED_EDGE('',*,*,#1920,.T.); #1223=ORIENTED_EDGE('',*,*,#1921,.T.); #1224=ORIENTED_EDGE('',*,*,#1922,.T.); #1225=ORIENTED_EDGE('',*,*,#1923,.T.); #1226=ORIENTED_EDGE('',*,*,#1924,.T.); #1227=ORIENTED_EDGE('',*,*,#1925,.T.); #1228=ORIENTED_EDGE('',*,*,#1926,.T.); #1229=ORIENTED_EDGE('',*,*,#1927,.T.); #1230=ORIENTED_EDGE('',*,*,#1928,.T.); #1231=ORIENTED_EDGE('',*,*,#1929,.T.); #1232=ORIENTED_EDGE('',*,*,#1930,.T.); #1233=ORIENTED_EDGE('',*,*,#1931,.T.); #1234=ORIENTED_EDGE('',*,*,#1932,.T.); #1235=ORIENTED_EDGE('',*,*,#1933,.T.); #1236=ORIENTED_EDGE('',*,*,#1934,.T.); #1237=ORIENTED_EDGE('',*,*,#1935,.T.); #1238=ORIENTED_EDGE('',*,*,#1936,.T.); #1239=ORIENTED_EDGE('',*,*,#1937,.T.); #1240=ORIENTED_EDGE('',*,*,#1938,.T.); #1241=ORIENTED_EDGE('',*,*,#1939,.T.); #1242=ORIENTED_EDGE('',*,*,#1940,.T.); #1243=ORIENTED_EDGE('',*,*,#1941,.T.); #1244=ORIENTED_EDGE('',*,*,#1942,.T.); #1245=ORIENTED_EDGE('',*,*,#1943,.T.); #1246=ORIENTED_EDGE('',*,*,#1944,.T.); #1247=ORIENTED_EDGE('',*,*,#1945,.T.); #1248=ORIENTED_EDGE('',*,*,#1946,.T.); #1249=ORIENTED_EDGE('',*,*,#1947,.T.); #1250=ORIENTED_EDGE('',*,*,#1948,.T.); #1251=ORIENTED_EDGE('',*,*,#1949,.T.); #1252=ORIENTED_EDGE('',*,*,#1950,.T.); #1253=ORIENTED_EDGE('',*,*,#1951,.T.); #1254=ORIENTED_EDGE('',*,*,#1952,.T.); #1255=ORIENTED_EDGE('',*,*,#1953,.T.); #1256=ORIENTED_EDGE('',*,*,#1954,.T.); #1257=ORIENTED_EDGE('',*,*,#1955,.T.); #1258=ORIENTED_EDGE('',*,*,#1956,.T.); #1259=ORIENTED_EDGE('',*,*,#1957,.T.); #1260=ORIENTED_EDGE('',*,*,#1958,.T.); #1261=ORIENTED_EDGE('',*,*,#1959,.T.); #1262=ORIENTED_EDGE('',*,*,#1960,.T.); #1263=ORIENTED_EDGE('',*,*,#1961,.T.); #1264=ORIENTED_EDGE('',*,*,#1962,.T.); #1265=ORIENTED_EDGE('',*,*,#1963,.T.); #1266=ORIENTED_EDGE('',*,*,#1964,.T.); #1267=ORIENTED_EDGE('',*,*,#1965,.T.); #1268=ORIENTED_EDGE('',*,*,#1966,.T.); #1269=ORIENTED_EDGE('',*,*,#1967,.T.); #1270=ORIENTED_EDGE('',*,*,#1968,.T.); #1271=ORIENTED_EDGE('',*,*,#1969,.T.); #1272=ORIENTED_EDGE('',*,*,#1970,.T.); #1273=ORIENTED_EDGE('',*,*,#1971,.T.); #1274=ORIENTED_EDGE('',*,*,#1972,.T.); #1275=ORIENTED_EDGE('',*,*,#1973,.T.); #1276=ORIENTED_EDGE('',*,*,#1974,.T.); #1277=ORIENTED_EDGE('',*,*,#1975,.T.); #1278=ORIENTED_EDGE('',*,*,#1976,.T.); #1279=ORIENTED_EDGE('',*,*,#1977,.T.); #1280=ORIENTED_EDGE('',*,*,#1978,.T.); #1281=ORIENTED_EDGE('',*,*,#1979,.T.); #1282=ORIENTED_EDGE('',*,*,#1764,.F.); #1283=ORIENTED_EDGE('',*,*,#1752,.F.); #1284=ORIENTED_EDGE('',*,*,#1750,.T.); #1285=ORIENTED_EDGE('',*,*,#1980,.T.); #1286=ORIENTED_EDGE('',*,*,#1755,.F.); #1287=ORIENTED_EDGE('',*,*,#1980,.F.); #1288=ORIENTED_EDGE('',*,*,#1749,.T.); #1289=ORIENTED_EDGE('',*,*,#1981,.T.); #1290=ORIENTED_EDGE('',*,*,#1982,.T.); #1291=ORIENTED_EDGE('',*,*,#1983,.T.); #1292=ORIENTED_EDGE('',*,*,#1984,.T.); #1293=ORIENTED_EDGE('',*,*,#1985,.T.); #1294=ORIENTED_EDGE('',*,*,#1986,.T.); #1295=ORIENTED_EDGE('',*,*,#1987,.T.); #1296=ORIENTED_EDGE('',*,*,#1988,.T.); #1297=ORIENTED_EDGE('',*,*,#1989,.T.); #1298=ORIENTED_EDGE('',*,*,#1990,.T.); #1299=ORIENTED_EDGE('',*,*,#1991,.T.); #1300=ORIENTED_EDGE('',*,*,#1992,.T.); #1301=ORIENTED_EDGE('',*,*,#1993,.T.); #1302=ORIENTED_EDGE('',*,*,#1994,.T.); #1303=ORIENTED_EDGE('',*,*,#1995,.T.); #1304=ORIENTED_EDGE('',*,*,#1996,.T.); #1305=ORIENTED_EDGE('',*,*,#1997,.T.); #1306=ORIENTED_EDGE('',*,*,#1998,.T.); #1307=ORIENTED_EDGE('',*,*,#1999,.T.); #1308=ORIENTED_EDGE('',*,*,#2000,.T.); #1309=ORIENTED_EDGE('',*,*,#2001,.T.); #1310=ORIENTED_EDGE('',*,*,#2002,.T.); #1311=ORIENTED_EDGE('',*,*,#2003,.T.); #1312=ORIENTED_EDGE('',*,*,#2004,.T.); #1313=ORIENTED_EDGE('',*,*,#2005,.T.); #1314=ORIENTED_EDGE('',*,*,#2006,.T.); #1315=ORIENTED_EDGE('',*,*,#2007,.T.); #1316=ORIENTED_EDGE('',*,*,#2008,.T.); #1317=ORIENTED_EDGE('',*,*,#2009,.T.); #1318=ORIENTED_EDGE('',*,*,#2010,.T.); #1319=ORIENTED_EDGE('',*,*,#2011,.T.); #1320=ORIENTED_EDGE('',*,*,#2012,.T.); #1321=ORIENTED_EDGE('',*,*,#1756,.F.); #1322=ORIENTED_EDGE('',*,*,#1981,.F.); #1323=ORIENTED_EDGE('',*,*,#1748,.T.); #1324=ORIENTED_EDGE('',*,*,#2013,.T.); #1325=ORIENTED_EDGE('',*,*,#1757,.F.); #1326=ORIENTED_EDGE('',*,*,#2013,.F.); #1327=ORIENTED_EDGE('',*,*,#1747,.T.); #1328=ORIENTED_EDGE('',*,*,#2014,.T.); #1329=ORIENTED_EDGE('',*,*,#2015,.F.); #1330=ORIENTED_EDGE('',*,*,#2016,.F.); #1331=ORIENTED_EDGE('',*,*,#2017,.F.); #1332=ORIENTED_EDGE('',*,*,#2018,.F.); #1333=ORIENTED_EDGE('',*,*,#2019,.F.); #1334=ORIENTED_EDGE('',*,*,#2020,.F.); #1335=ORIENTED_EDGE('',*,*,#2021,.F.); #1336=ORIENTED_EDGE('',*,*,#2022,.F.); #1337=ORIENTED_EDGE('',*,*,#2023,.F.); #1338=ORIENTED_EDGE('',*,*,#2024,.F.); #1339=ORIENTED_EDGE('',*,*,#2025,.F.); #1340=ORIENTED_EDGE('',*,*,#2026,.F.); #1341=ORIENTED_EDGE('',*,*,#2027,.F.); #1342=ORIENTED_EDGE('',*,*,#2028,.F.); #1343=ORIENTED_EDGE('',*,*,#2029,.F.); #1344=ORIENTED_EDGE('',*,*,#2030,.F.); #1345=ORIENTED_EDGE('',*,*,#2031,.F.); #1346=ORIENTED_EDGE('',*,*,#2032,.F.); #1347=ORIENTED_EDGE('',*,*,#2033,.F.); #1348=ORIENTED_EDGE('',*,*,#2034,.F.); #1349=ORIENTED_EDGE('',*,*,#2035,.F.); #1350=ORIENTED_EDGE('',*,*,#2036,.F.); #1351=ORIENTED_EDGE('',*,*,#2037,.F.); #1352=ORIENTED_EDGE('',*,*,#2038,.F.); #1353=ORIENTED_EDGE('',*,*,#2039,.F.); #1354=ORIENTED_EDGE('',*,*,#2040,.F.); #1355=ORIENTED_EDGE('',*,*,#2041,.F.); #1356=ORIENTED_EDGE('',*,*,#2042,.F.); #1357=ORIENTED_EDGE('',*,*,#2043,.F.); #1358=ORIENTED_EDGE('',*,*,#2044,.F.); #1359=ORIENTED_EDGE('',*,*,#2045,.F.); #1360=ORIENTED_EDGE('',*,*,#2046,.F.); #1361=ORIENTED_EDGE('',*,*,#2047,.F.); #1362=ORIENTED_EDGE('',*,*,#2048,.F.); #1363=ORIENTED_EDGE('',*,*,#2049,.F.); #1364=ORIENTED_EDGE('',*,*,#2050,.F.); #1365=ORIENTED_EDGE('',*,*,#2051,.F.); #1366=ORIENTED_EDGE('',*,*,#2052,.F.); #1367=ORIENTED_EDGE('',*,*,#2053,.F.); #1368=ORIENTED_EDGE('',*,*,#2054,.F.); #1369=ORIENTED_EDGE('',*,*,#2055,.F.); #1370=ORIENTED_EDGE('',*,*,#2056,.F.); #1371=ORIENTED_EDGE('',*,*,#2057,.F.); #1372=ORIENTED_EDGE('',*,*,#2058,.F.); #1373=ORIENTED_EDGE('',*,*,#2059,.F.); #1374=ORIENTED_EDGE('',*,*,#2060,.F.); #1375=ORIENTED_EDGE('',*,*,#2061,.F.); #1376=ORIENTED_EDGE('',*,*,#2062,.F.); #1377=ORIENTED_EDGE('',*,*,#2063,.F.); #1378=ORIENTED_EDGE('',*,*,#2064,.F.); #1379=ORIENTED_EDGE('',*,*,#2065,.F.); #1380=ORIENTED_EDGE('',*,*,#2066,.F.); #1381=ORIENTED_EDGE('',*,*,#2067,.F.); #1382=ORIENTED_EDGE('',*,*,#2068,.F.); #1383=ORIENTED_EDGE('',*,*,#2069,.F.); #1384=ORIENTED_EDGE('',*,*,#2070,.F.); #1385=ORIENTED_EDGE('',*,*,#2071,.F.); #1386=ORIENTED_EDGE('',*,*,#2072,.F.); #1387=ORIENTED_EDGE('',*,*,#2073,.F.); #1388=ORIENTED_EDGE('',*,*,#2074,.F.); #1389=ORIENTED_EDGE('',*,*,#1758,.F.); #1390=ORIENTED_EDGE('',*,*,#2014,.F.); #1391=ORIENTED_EDGE('',*,*,#1746,.T.); #1392=ORIENTED_EDGE('',*,*,#1738,.T.); #1393=ORIENTED_EDGE('',*,*,#2074,.T.); #1394=ORIENTED_EDGE('',*,*,#1919,.F.); #1395=ORIENTED_EDGE('',*,*,#1979,.F.); #1396=ORIENTED_EDGE('',*,*,#1824,.F.); #1397=ORIENTED_EDGE('',*,*,#2073,.T.); #1398=ORIENTED_EDGE('',*,*,#1918,.F.); #1399=ORIENTED_EDGE('',*,*,#1978,.F.); #1400=ORIENTED_EDGE('',*,*,#1823,.F.); #1401=ORIENTED_EDGE('',*,*,#2072,.T.); #1402=ORIENTED_EDGE('',*,*,#1917,.F.); #1403=ORIENTED_EDGE('',*,*,#1977,.F.); #1404=ORIENTED_EDGE('',*,*,#1822,.F.); #1405=ORIENTED_EDGE('',*,*,#2071,.T.); #1406=ORIENTED_EDGE('',*,*,#1916,.F.); #1407=ORIENTED_EDGE('',*,*,#1976,.F.); #1408=ORIENTED_EDGE('',*,*,#1821,.F.); #1409=ORIENTED_EDGE('',*,*,#2070,.T.); #1410=ORIENTED_EDGE('',*,*,#1915,.F.); #1411=ORIENTED_EDGE('',*,*,#1975,.F.); #1412=ORIENTED_EDGE('',*,*,#1820,.F.); #1413=ORIENTED_EDGE('',*,*,#2069,.T.); #1414=ORIENTED_EDGE('',*,*,#1914,.F.); #1415=ORIENTED_EDGE('',*,*,#1974,.F.); #1416=ORIENTED_EDGE('',*,*,#1819,.F.); #1417=ORIENTED_EDGE('',*,*,#2068,.T.); #1418=ORIENTED_EDGE('',*,*,#1913,.F.); #1419=ORIENTED_EDGE('',*,*,#1973,.F.); #1420=ORIENTED_EDGE('',*,*,#1818,.F.); #1421=ORIENTED_EDGE('',*,*,#2067,.T.); #1422=ORIENTED_EDGE('',*,*,#1912,.F.); #1423=ORIENTED_EDGE('',*,*,#1972,.F.); #1424=ORIENTED_EDGE('',*,*,#1817,.F.); #1425=ORIENTED_EDGE('',*,*,#2066,.T.); #1426=ORIENTED_EDGE('',*,*,#1911,.F.); #1427=ORIENTED_EDGE('',*,*,#1971,.F.); #1428=ORIENTED_EDGE('',*,*,#1816,.F.); #1429=ORIENTED_EDGE('',*,*,#2065,.T.); #1430=ORIENTED_EDGE('',*,*,#1910,.F.); #1431=ORIENTED_EDGE('',*,*,#1970,.F.); #1432=ORIENTED_EDGE('',*,*,#1815,.F.); #1433=ORIENTED_EDGE('',*,*,#2064,.T.); #1434=ORIENTED_EDGE('',*,*,#1909,.F.); #1435=ORIENTED_EDGE('',*,*,#1969,.F.); #1436=ORIENTED_EDGE('',*,*,#1814,.F.); #1437=ORIENTED_EDGE('',*,*,#2063,.T.); #1438=ORIENTED_EDGE('',*,*,#1908,.F.); #1439=ORIENTED_EDGE('',*,*,#1968,.F.); #1440=ORIENTED_EDGE('',*,*,#1813,.F.); #1441=ORIENTED_EDGE('',*,*,#2062,.T.); #1442=ORIENTED_EDGE('',*,*,#1907,.F.); #1443=ORIENTED_EDGE('',*,*,#1967,.F.); #1444=ORIENTED_EDGE('',*,*,#1812,.F.); #1445=ORIENTED_EDGE('',*,*,#2061,.T.); #1446=ORIENTED_EDGE('',*,*,#1906,.F.); #1447=ORIENTED_EDGE('',*,*,#1966,.F.); #1448=ORIENTED_EDGE('',*,*,#1811,.F.); #1449=ORIENTED_EDGE('',*,*,#2060,.T.); #1450=ORIENTED_EDGE('',*,*,#1905,.F.); #1451=ORIENTED_EDGE('',*,*,#1965,.F.); #1452=ORIENTED_EDGE('',*,*,#1810,.F.); #1453=ORIENTED_EDGE('',*,*,#2059,.T.); #1454=ORIENTED_EDGE('',*,*,#1904,.F.); #1455=ORIENTED_EDGE('',*,*,#1964,.F.); #1456=ORIENTED_EDGE('',*,*,#1809,.F.); #1457=ORIENTED_EDGE('',*,*,#2058,.T.); #1458=ORIENTED_EDGE('',*,*,#1903,.F.); #1459=ORIENTED_EDGE('',*,*,#1963,.F.); #1460=ORIENTED_EDGE('',*,*,#1808,.F.); #1461=ORIENTED_EDGE('',*,*,#2057,.T.); #1462=ORIENTED_EDGE('',*,*,#1902,.F.); #1463=ORIENTED_EDGE('',*,*,#1962,.F.); #1464=ORIENTED_EDGE('',*,*,#1807,.F.); #1465=ORIENTED_EDGE('',*,*,#2056,.T.); #1466=ORIENTED_EDGE('',*,*,#1901,.F.); #1467=ORIENTED_EDGE('',*,*,#1961,.F.); #1468=ORIENTED_EDGE('',*,*,#1806,.F.); #1469=ORIENTED_EDGE('',*,*,#2055,.T.); #1470=ORIENTED_EDGE('',*,*,#1900,.F.); #1471=ORIENTED_EDGE('',*,*,#1960,.F.); #1472=ORIENTED_EDGE('',*,*,#1805,.F.); #1473=ORIENTED_EDGE('',*,*,#2054,.T.); #1474=ORIENTED_EDGE('',*,*,#1899,.F.); #1475=ORIENTED_EDGE('',*,*,#1959,.F.); #1476=ORIENTED_EDGE('',*,*,#1804,.F.); #1477=ORIENTED_EDGE('',*,*,#2053,.T.); #1478=ORIENTED_EDGE('',*,*,#1898,.F.); #1479=ORIENTED_EDGE('',*,*,#1958,.F.); #1480=ORIENTED_EDGE('',*,*,#1803,.F.); #1481=ORIENTED_EDGE('',*,*,#2052,.T.); #1482=ORIENTED_EDGE('',*,*,#1897,.F.); #1483=ORIENTED_EDGE('',*,*,#1957,.F.); #1484=ORIENTED_EDGE('',*,*,#1802,.F.); #1485=ORIENTED_EDGE('',*,*,#2051,.T.); #1486=ORIENTED_EDGE('',*,*,#1896,.F.); #1487=ORIENTED_EDGE('',*,*,#1956,.F.); #1488=ORIENTED_EDGE('',*,*,#1801,.F.); #1489=ORIENTED_EDGE('',*,*,#2050,.T.); #1490=ORIENTED_EDGE('',*,*,#1895,.F.); #1491=ORIENTED_EDGE('',*,*,#1955,.F.); #1492=ORIENTED_EDGE('',*,*,#1800,.F.); #1493=ORIENTED_EDGE('',*,*,#2049,.T.); #1494=ORIENTED_EDGE('',*,*,#1894,.F.); #1495=ORIENTED_EDGE('',*,*,#1954,.F.); #1496=ORIENTED_EDGE('',*,*,#1799,.F.); #1497=ORIENTED_EDGE('',*,*,#2048,.T.); #1498=ORIENTED_EDGE('',*,*,#1893,.F.); #1499=ORIENTED_EDGE('',*,*,#1953,.F.); #1500=ORIENTED_EDGE('',*,*,#1798,.F.); #1501=ORIENTED_EDGE('',*,*,#2047,.T.); #1502=ORIENTED_EDGE('',*,*,#1892,.F.); #1503=ORIENTED_EDGE('',*,*,#1952,.F.); #1504=ORIENTED_EDGE('',*,*,#1797,.F.); #1505=ORIENTED_EDGE('',*,*,#2046,.T.); #1506=ORIENTED_EDGE('',*,*,#1891,.F.); #1507=ORIENTED_EDGE('',*,*,#1951,.F.); #1508=ORIENTED_EDGE('',*,*,#1796,.F.); #1509=ORIENTED_EDGE('',*,*,#2045,.T.); #1510=ORIENTED_EDGE('',*,*,#1890,.F.); #1511=ORIENTED_EDGE('',*,*,#1950,.F.); #1512=ORIENTED_EDGE('',*,*,#1795,.F.); #1513=ORIENTED_EDGE('',*,*,#2044,.T.); #1514=ORIENTED_EDGE('',*,*,#1889,.F.); #1515=ORIENTED_EDGE('',*,*,#1949,.F.); #1516=ORIENTED_EDGE('',*,*,#1794,.F.); #1517=ORIENTED_EDGE('',*,*,#2043,.T.); #1518=ORIENTED_EDGE('',*,*,#1888,.F.); #1519=ORIENTED_EDGE('',*,*,#1948,.F.); #1520=ORIENTED_EDGE('',*,*,#1793,.F.); #1521=ORIENTED_EDGE('',*,*,#2042,.T.); #1522=ORIENTED_EDGE('',*,*,#1887,.F.); #1523=ORIENTED_EDGE('',*,*,#1947,.F.); #1524=ORIENTED_EDGE('',*,*,#1792,.F.); #1525=ORIENTED_EDGE('',*,*,#2041,.T.); #1526=ORIENTED_EDGE('',*,*,#1886,.F.); #1527=ORIENTED_EDGE('',*,*,#1946,.F.); #1528=ORIENTED_EDGE('',*,*,#1791,.F.); #1529=ORIENTED_EDGE('',*,*,#2040,.T.); #1530=ORIENTED_EDGE('',*,*,#1885,.F.); #1531=ORIENTED_EDGE('',*,*,#1945,.F.); #1532=ORIENTED_EDGE('',*,*,#1790,.F.); #1533=ORIENTED_EDGE('',*,*,#2039,.T.); #1534=ORIENTED_EDGE('',*,*,#1884,.F.); #1535=ORIENTED_EDGE('',*,*,#1944,.F.); #1536=ORIENTED_EDGE('',*,*,#1789,.F.); #1537=ORIENTED_EDGE('',*,*,#2038,.T.); #1538=ORIENTED_EDGE('',*,*,#1883,.F.); #1539=ORIENTED_EDGE('',*,*,#1943,.F.); #1540=ORIENTED_EDGE('',*,*,#1788,.F.); #1541=ORIENTED_EDGE('',*,*,#2037,.T.); #1542=ORIENTED_EDGE('',*,*,#1882,.F.); #1543=ORIENTED_EDGE('',*,*,#1942,.F.); #1544=ORIENTED_EDGE('',*,*,#1787,.F.); #1545=ORIENTED_EDGE('',*,*,#2036,.T.); #1546=ORIENTED_EDGE('',*,*,#1881,.F.); #1547=ORIENTED_EDGE('',*,*,#1941,.F.); #1548=ORIENTED_EDGE('',*,*,#1786,.F.); #1549=ORIENTED_EDGE('',*,*,#2035,.T.); #1550=ORIENTED_EDGE('',*,*,#1880,.F.); #1551=ORIENTED_EDGE('',*,*,#1940,.F.); #1552=ORIENTED_EDGE('',*,*,#1785,.F.); #1553=ORIENTED_EDGE('',*,*,#2034,.T.); #1554=ORIENTED_EDGE('',*,*,#1879,.F.); #1555=ORIENTED_EDGE('',*,*,#1939,.F.); #1556=ORIENTED_EDGE('',*,*,#1784,.F.); #1557=ORIENTED_EDGE('',*,*,#2033,.T.); #1558=ORIENTED_EDGE('',*,*,#1878,.F.); #1559=ORIENTED_EDGE('',*,*,#1938,.F.); #1560=ORIENTED_EDGE('',*,*,#1783,.F.); #1561=ORIENTED_EDGE('',*,*,#2032,.T.); #1562=ORIENTED_EDGE('',*,*,#1877,.F.); #1563=ORIENTED_EDGE('',*,*,#1937,.F.); #1564=ORIENTED_EDGE('',*,*,#1782,.F.); #1565=ORIENTED_EDGE('',*,*,#2031,.T.); #1566=ORIENTED_EDGE('',*,*,#1876,.F.); #1567=ORIENTED_EDGE('',*,*,#1936,.F.); #1568=ORIENTED_EDGE('',*,*,#1781,.F.); #1569=ORIENTED_EDGE('',*,*,#2030,.T.); #1570=ORIENTED_EDGE('',*,*,#1875,.F.); #1571=ORIENTED_EDGE('',*,*,#1935,.F.); #1572=ORIENTED_EDGE('',*,*,#1780,.F.); #1573=ORIENTED_EDGE('',*,*,#2029,.T.); #1574=ORIENTED_EDGE('',*,*,#1874,.F.); #1575=ORIENTED_EDGE('',*,*,#1934,.F.); #1576=ORIENTED_EDGE('',*,*,#1779,.F.); #1577=ORIENTED_EDGE('',*,*,#2028,.T.); #1578=ORIENTED_EDGE('',*,*,#1873,.F.); #1579=ORIENTED_EDGE('',*,*,#1933,.F.); #1580=ORIENTED_EDGE('',*,*,#1778,.F.); #1581=ORIENTED_EDGE('',*,*,#2027,.T.); #1582=ORIENTED_EDGE('',*,*,#1872,.F.); #1583=ORIENTED_EDGE('',*,*,#1932,.F.); #1584=ORIENTED_EDGE('',*,*,#1777,.F.); #1585=ORIENTED_EDGE('',*,*,#2026,.T.); #1586=ORIENTED_EDGE('',*,*,#1871,.F.); #1587=ORIENTED_EDGE('',*,*,#1931,.F.); #1588=ORIENTED_EDGE('',*,*,#1776,.F.); #1589=ORIENTED_EDGE('',*,*,#2025,.T.); #1590=ORIENTED_EDGE('',*,*,#1870,.F.); #1591=ORIENTED_EDGE('',*,*,#1930,.F.); #1592=ORIENTED_EDGE('',*,*,#1775,.F.); #1593=ORIENTED_EDGE('',*,*,#2024,.T.); #1594=ORIENTED_EDGE('',*,*,#1869,.F.); #1595=ORIENTED_EDGE('',*,*,#1929,.F.); #1596=ORIENTED_EDGE('',*,*,#1774,.F.); #1597=ORIENTED_EDGE('',*,*,#2023,.T.); #1598=ORIENTED_EDGE('',*,*,#1868,.F.); #1599=ORIENTED_EDGE('',*,*,#1928,.F.); #1600=ORIENTED_EDGE('',*,*,#1773,.F.); #1601=ORIENTED_EDGE('',*,*,#2022,.T.); #1602=ORIENTED_EDGE('',*,*,#1867,.F.); #1603=ORIENTED_EDGE('',*,*,#1927,.F.); #1604=ORIENTED_EDGE('',*,*,#1772,.F.); #1605=ORIENTED_EDGE('',*,*,#2021,.T.); #1606=ORIENTED_EDGE('',*,*,#1866,.F.); #1607=ORIENTED_EDGE('',*,*,#1926,.F.); #1608=ORIENTED_EDGE('',*,*,#1771,.F.); #1609=ORIENTED_EDGE('',*,*,#2020,.T.); #1610=ORIENTED_EDGE('',*,*,#1865,.F.); #1611=ORIENTED_EDGE('',*,*,#1925,.F.); #1612=ORIENTED_EDGE('',*,*,#1770,.F.); #1613=ORIENTED_EDGE('',*,*,#2019,.T.); #1614=ORIENTED_EDGE('',*,*,#1864,.F.); #1615=ORIENTED_EDGE('',*,*,#1924,.F.); #1616=ORIENTED_EDGE('',*,*,#1769,.F.); #1617=ORIENTED_EDGE('',*,*,#2018,.T.); #1618=ORIENTED_EDGE('',*,*,#1863,.F.); #1619=ORIENTED_EDGE('',*,*,#1923,.F.); #1620=ORIENTED_EDGE('',*,*,#1768,.F.); #1621=ORIENTED_EDGE('',*,*,#2017,.T.); #1622=ORIENTED_EDGE('',*,*,#1862,.F.); #1623=ORIENTED_EDGE('',*,*,#1922,.F.); #1624=ORIENTED_EDGE('',*,*,#1767,.F.); #1625=ORIENTED_EDGE('',*,*,#2016,.T.); #1626=ORIENTED_EDGE('',*,*,#1861,.F.); #1627=ORIENTED_EDGE('',*,*,#1921,.F.); #1628=ORIENTED_EDGE('',*,*,#1766,.F.); #1629=ORIENTED_EDGE('',*,*,#2015,.T.); #1630=ORIENTED_EDGE('',*,*,#1860,.F.); #1631=ORIENTED_EDGE('',*,*,#1920,.F.); #1632=ORIENTED_EDGE('',*,*,#1765,.F.); #1633=ORIENTED_EDGE('',*,*,#1857,.T.); #1634=ORIENTED_EDGE('',*,*,#2012,.F.); #1635=ORIENTED_EDGE('',*,*,#2011,.F.); #1636=ORIENTED_EDGE('',*,*,#1856,.F.); #1637=ORIENTED_EDGE('',*,*,#2010,.F.); #1638=ORIENTED_EDGE('',*,*,#1855,.F.); #1639=ORIENTED_EDGE('',*,*,#2009,.F.); #1640=ORIENTED_EDGE('',*,*,#1854,.F.); #1641=ORIENTED_EDGE('',*,*,#2008,.F.); #1642=ORIENTED_EDGE('',*,*,#1853,.F.); #1643=ORIENTED_EDGE('',*,*,#2007,.F.); #1644=ORIENTED_EDGE('',*,*,#1852,.F.); #1645=ORIENTED_EDGE('',*,*,#2006,.F.); #1646=ORIENTED_EDGE('',*,*,#1851,.F.); #1647=ORIENTED_EDGE('',*,*,#2005,.F.); #1648=ORIENTED_EDGE('',*,*,#1850,.F.); #1649=ORIENTED_EDGE('',*,*,#2004,.F.); #1650=ORIENTED_EDGE('',*,*,#1849,.F.); #1651=ORIENTED_EDGE('',*,*,#2003,.F.); #1652=ORIENTED_EDGE('',*,*,#1848,.F.); #1653=ORIENTED_EDGE('',*,*,#2002,.F.); #1654=ORIENTED_EDGE('',*,*,#1847,.F.); #1655=ORIENTED_EDGE('',*,*,#2001,.F.); #1656=ORIENTED_EDGE('',*,*,#1846,.F.); #1657=ORIENTED_EDGE('',*,*,#2000,.F.); #1658=ORIENTED_EDGE('',*,*,#1845,.F.); #1659=ORIENTED_EDGE('',*,*,#1999,.F.); #1660=ORIENTED_EDGE('',*,*,#1844,.F.); #1661=ORIENTED_EDGE('',*,*,#1998,.F.); #1662=ORIENTED_EDGE('',*,*,#1843,.F.); #1663=ORIENTED_EDGE('',*,*,#1997,.F.); #1664=ORIENTED_EDGE('',*,*,#1842,.F.); #1665=ORIENTED_EDGE('',*,*,#1996,.F.); #1666=ORIENTED_EDGE('',*,*,#1841,.F.); #1667=ORIENTED_EDGE('',*,*,#1995,.F.); #1668=ORIENTED_EDGE('',*,*,#1840,.F.); #1669=ORIENTED_EDGE('',*,*,#1994,.F.); #1670=ORIENTED_EDGE('',*,*,#1839,.F.); #1671=ORIENTED_EDGE('',*,*,#1993,.F.); #1672=ORIENTED_EDGE('',*,*,#1838,.F.); #1673=ORIENTED_EDGE('',*,*,#1992,.F.); #1674=ORIENTED_EDGE('',*,*,#1837,.F.); #1675=ORIENTED_EDGE('',*,*,#1991,.F.); #1676=ORIENTED_EDGE('',*,*,#1836,.F.); #1677=ORIENTED_EDGE('',*,*,#1990,.F.); #1678=ORIENTED_EDGE('',*,*,#1835,.F.); #1679=ORIENTED_EDGE('',*,*,#1989,.F.); #1680=ORIENTED_EDGE('',*,*,#1834,.F.); #1681=ORIENTED_EDGE('',*,*,#1988,.F.); #1682=ORIENTED_EDGE('',*,*,#1833,.F.); #1683=ORIENTED_EDGE('',*,*,#1987,.F.); #1684=ORIENTED_EDGE('',*,*,#1832,.F.); #1685=ORIENTED_EDGE('',*,*,#1986,.F.); #1686=ORIENTED_EDGE('',*,*,#1831,.F.); #1687=ORIENTED_EDGE('',*,*,#1985,.F.); #1688=ORIENTED_EDGE('',*,*,#1830,.F.); #1689=ORIENTED_EDGE('',*,*,#1984,.F.); #1690=ORIENTED_EDGE('',*,*,#1829,.F.); #1691=ORIENTED_EDGE('',*,*,#1983,.F.); #1692=ORIENTED_EDGE('',*,*,#1828,.F.); #1693=ORIENTED_EDGE('',*,*,#1982,.F.); #1694=ORIENTED_EDGE('',*,*,#1827,.F.); #1695=ORIENTED_EDGE('',*,*,#2075,.T.); #1696=ORIENTED_EDGE('',*,*,#2076,.F.); #1697=ORIENTED_EDGE('',*,*,#2077,.T.); #1698=ORIENTED_EDGE('',*,*,#2075,.F.); #1699=ORIENTED_EDGE('',*,*,#2078,.T.); #1700=ORIENTED_EDGE('',*,*,#2079,.T.); #1701=ORIENTED_EDGE('',*,*,#2080,.T.); #1702=ORIENTED_EDGE('',*,*,#2076,.T.); #1703=ORIENTED_EDGE('',*,*,#2078,.F.); #1704=ORIENTED_EDGE('',*,*,#2077,.F.); #1705=ORIENTED_EDGE('',*,*,#2080,.F.); #1706=ORIENTED_EDGE('',*,*,#2079,.F.); #1707=ORIENTED_EDGE('',*,*,#2081,.T.); #1708=ORIENTED_EDGE('',*,*,#2082,.F.); #1709=ORIENTED_EDGE('',*,*,#2083,.T.); #1710=ORIENTED_EDGE('',*,*,#2081,.F.); #1711=ORIENTED_EDGE('',*,*,#2084,.T.); #1712=ORIENTED_EDGE('',*,*,#2085,.T.); #1713=ORIENTED_EDGE('',*,*,#2086,.T.); #1714=ORIENTED_EDGE('',*,*,#2082,.T.); #1715=ORIENTED_EDGE('',*,*,#2086,.F.); #1716=ORIENTED_EDGE('',*,*,#2085,.F.); #1717=ORIENTED_EDGE('',*,*,#2084,.F.); #1718=ORIENTED_EDGE('',*,*,#2083,.F.); #1719=ORIENTED_EDGE('',*,*,#2087,.T.); #1720=ORIENTED_EDGE('',*,*,#2088,.T.); #1721=ORIENTED_EDGE('',*,*,#2089,.T.); #1722=ORIENTED_EDGE('',*,*,#2090,.T.); #1723=ORIENTED_EDGE('',*,*,#2089,.F.); #1724=ORIENTED_EDGE('',*,*,#2091,.T.); #1725=ORIENTED_EDGE('',*,*,#2090,.F.); #1726=ORIENTED_EDGE('',*,*,#2092,.T.); #1727=ORIENTED_EDGE('',*,*,#2091,.F.); #1728=ORIENTED_EDGE('',*,*,#2093,.T.); #1729=ORIENTED_EDGE('',*,*,#2092,.F.); #1730=ORIENTED_EDGE('',*,*,#2093,.F.); #1731=ORIENTED_EDGE('',*,*,#2094,.F.); #1732=ORIENTED_EDGE('',*,*,#2094,.T.); #1733=ORIENTED_EDGE('',*,*,#2095,.T.); #1734=ORIENTED_EDGE('',*,*,#2095,.F.); #1735=ORIENTED_EDGE('',*,*,#2088,.F.); #1736=ORIENTED_EDGE('',*,*,#2087,.F.); #1737=EDGE_CURVE('',#2096,#2097,#2443,.T.); #1738=EDGE_CURVE('',#2098,#2096,#2444,.T.); #1739=EDGE_CURVE('',#2098,#2099,#2445,.T.); #1740=EDGE_CURVE('',#2099,#2097,#2446,.T.); #1741=EDGE_CURVE('',#2100,#2101,#2447,.T.); #1742=EDGE_CURVE('',#2101,#2102,#688,.T.); #1743=EDGE_CURVE('',#2102,#2103,#2448,.T.); #1744=EDGE_CURVE('',#2103,#2104,#689,.T.); #1745=EDGE_CURVE('',#2104,#2099,#2449,.T.); #1746=EDGE_CURVE('',#2105,#2098,#2450,.T.); #1747=EDGE_CURVE('',#2106,#2105,#690,.F.); #1748=EDGE_CURVE('',#2107,#2106,#2451,.T.); #1749=EDGE_CURVE('',#2108,#2107,#691,.F.); #1750=EDGE_CURVE('',#2109,#2108,#2452,.T.); #1751=EDGE_CURVE('',#2109,#2100,#2453,.T.); #1752=EDGE_CURVE('',#2109,#2110,#2454,.T.); #1753=EDGE_CURVE('',#2110,#2111,#2455,.T.); #1754=EDGE_CURVE('',#2100,#2111,#2456,.T.); #1755=EDGE_CURVE('',#2112,#2113,#692,.F.); #1756=EDGE_CURVE('',#2113,#2114,#2457,.T.); #1757=EDGE_CURVE('',#2114,#2115,#693,.F.); #1758=EDGE_CURVE('',#2115,#2096,#2458,.T.); #1759=EDGE_CURVE('',#2116,#2097,#2459,.T.); #1760=EDGE_CURVE('',#2117,#2116,#694,.T.); #1761=EDGE_CURVE('',#2118,#2117,#2460,.T.); #1762=EDGE_CURVE('',#2119,#2118,#695,.T.); #1763=EDGE_CURVE('',#2111,#2119,#2461,.T.); #1764=EDGE_CURVE('',#2110,#2112,#2462,.T.); #1765=EDGE_CURVE('',#2120,#2120,#696,.T.); #1766=EDGE_CURVE('',#2121,#2121,#697,.T.); #1767=EDGE_CURVE('',#2122,#2122,#698,.T.); #1768=EDGE_CURVE('',#2123,#2123,#699,.T.); #1769=EDGE_CURVE('',#2124,#2124,#700,.T.); #1770=EDGE_CURVE('',#2125,#2125,#701,.T.); #1771=EDGE_CURVE('',#2126,#2126,#702,.T.); #1772=EDGE_CURVE('',#2127,#2127,#703,.T.); #1773=EDGE_CURVE('',#2128,#2128,#704,.T.); #1774=EDGE_CURVE('',#2129,#2129,#705,.T.); #1775=EDGE_CURVE('',#2130,#2130,#706,.T.); #1776=EDGE_CURVE('',#2131,#2131,#707,.T.); #1777=EDGE_CURVE('',#2132,#2132,#708,.T.); #1778=EDGE_CURVE('',#2133,#2133,#709,.T.); #1779=EDGE_CURVE('',#2134,#2134,#710,.T.); #1780=EDGE_CURVE('',#2135,#2135,#711,.T.); #1781=EDGE_CURVE('',#2136,#2136,#712,.T.); #1782=EDGE_CURVE('',#2137,#2137,#713,.T.); #1783=EDGE_CURVE('',#2138,#2138,#714,.T.); #1784=EDGE_CURVE('',#2139,#2139,#715,.T.); #1785=EDGE_CURVE('',#2140,#2140,#716,.T.); #1786=EDGE_CURVE('',#2141,#2141,#717,.T.); #1787=EDGE_CURVE('',#2142,#2142,#718,.T.); #1788=EDGE_CURVE('',#2143,#2143,#719,.T.); #1789=EDGE_CURVE('',#2144,#2144,#720,.T.); #1790=EDGE_CURVE('',#2145,#2145,#721,.T.); #1791=EDGE_CURVE('',#2146,#2146,#722,.T.); #1792=EDGE_CURVE('',#2147,#2147,#723,.T.); #1793=EDGE_CURVE('',#2148,#2148,#724,.T.); #1794=EDGE_CURVE('',#2149,#2149,#725,.T.); #1795=EDGE_CURVE('',#2150,#2150,#726,.T.); #1796=EDGE_CURVE('',#2151,#2151,#727,.T.); #1797=EDGE_CURVE('',#2152,#2152,#728,.T.); #1798=EDGE_CURVE('',#2153,#2153,#729,.T.); #1799=EDGE_CURVE('',#2154,#2154,#730,.T.); #1800=EDGE_CURVE('',#2155,#2155,#731,.T.); #1801=EDGE_CURVE('',#2156,#2156,#732,.T.); #1802=EDGE_CURVE('',#2157,#2157,#733,.T.); #1803=EDGE_CURVE('',#2158,#2158,#734,.T.); #1804=EDGE_CURVE('',#2159,#2159,#735,.T.); #1805=EDGE_CURVE('',#2160,#2160,#736,.T.); #1806=EDGE_CURVE('',#2161,#2161,#737,.T.); #1807=EDGE_CURVE('',#2162,#2162,#738,.T.); #1808=EDGE_CURVE('',#2163,#2163,#739,.T.); #1809=EDGE_CURVE('',#2164,#2164,#740,.T.); #1810=EDGE_CURVE('',#2165,#2165,#741,.T.); #1811=EDGE_CURVE('',#2166,#2166,#742,.T.); #1812=EDGE_CURVE('',#2167,#2167,#743,.T.); #1813=EDGE_CURVE('',#2168,#2168,#744,.T.); #1814=EDGE_CURVE('',#2169,#2169,#745,.T.); #1815=EDGE_CURVE('',#2170,#2170,#746,.T.); #1816=EDGE_CURVE('',#2171,#2171,#747,.T.); #1817=EDGE_CURVE('',#2172,#2172,#748,.T.); #1818=EDGE_CURVE('',#2173,#2173,#749,.T.); #1819=EDGE_CURVE('',#2174,#2174,#750,.T.); #1820=EDGE_CURVE('',#2175,#2175,#751,.T.); #1821=EDGE_CURVE('',#2176,#2176,#752,.T.); #1822=EDGE_CURVE('',#2177,#2177,#753,.T.); #1823=EDGE_CURVE('',#2178,#2178,#754,.T.); #1824=EDGE_CURVE('',#2179,#2179,#755,.T.); #1825=EDGE_CURVE('',#2101,#2119,#2463,.T.); #1826=EDGE_CURVE('',#2102,#2118,#2464,.T.); #1827=EDGE_CURVE('',#2180,#2180,#756,.T.); #1828=EDGE_CURVE('',#2181,#2181,#757,.T.); #1829=EDGE_CURVE('',#2182,#2182,#758,.T.); #1830=EDGE_CURVE('',#2183,#2183,#759,.T.); #1831=EDGE_CURVE('',#2184,#2184,#760,.T.); #1832=EDGE_CURVE('',#2185,#2185,#761,.T.); #1833=EDGE_CURVE('',#2186,#2186,#762,.T.); #1834=EDGE_CURVE('',#2187,#2187,#763,.T.); #1835=EDGE_CURVE('',#2188,#2188,#764,.T.); #1836=EDGE_CURVE('',#2189,#2189,#765,.T.); #1837=EDGE_CURVE('',#2190,#2190,#766,.T.); #1838=EDGE_CURVE('',#2191,#2191,#767,.T.); #1839=EDGE_CURVE('',#2192,#2192,#768,.T.); #1840=EDGE_CURVE('',#2193,#2193,#769,.T.); #1841=EDGE_CURVE('',#2194,#2194,#770,.T.); #1842=EDGE_CURVE('',#2195,#2195,#771,.T.); #1843=EDGE_CURVE('',#2196,#2196,#772,.T.); #1844=EDGE_CURVE('',#2197,#2197,#773,.T.); #1845=EDGE_CURVE('',#2198,#2198,#774,.T.); #1846=EDGE_CURVE('',#2199,#2199,#775,.T.); #1847=EDGE_CURVE('',#2200,#2200,#776,.T.); #1848=EDGE_CURVE('',#2201,#2201,#777,.T.); #1849=EDGE_CURVE('',#2202,#2202,#778,.T.); #1850=EDGE_CURVE('',#2203,#2203,#779,.T.); #1851=EDGE_CURVE('',#2204,#2204,#780,.T.); #1852=EDGE_CURVE('',#2205,#2205,#781,.T.); #1853=EDGE_CURVE('',#2206,#2206,#782,.T.); #1854=EDGE_CURVE('',#2207,#2207,#783,.T.); #1855=EDGE_CURVE('',#2208,#2208,#784,.T.); #1856=EDGE_CURVE('',#2209,#2209,#785,.T.); #1857=EDGE_CURVE('',#2210,#2210,#786,.F.); #1858=EDGE_CURVE('',#2103,#2117,#2465,.T.); #1859=EDGE_CURVE('',#2104,#2116,#2466,.T.); #1860=EDGE_CURVE('',#2211,#2211,#787,.T.); #1861=EDGE_CURVE('',#2212,#2212,#788,.T.); #1862=EDGE_CURVE('',#2213,#2213,#789,.T.); #1863=EDGE_CURVE('',#2214,#2214,#790,.T.); #1864=EDGE_CURVE('',#2215,#2215,#791,.T.); #1865=EDGE_CURVE('',#2216,#2216,#792,.T.); #1866=EDGE_CURVE('',#2217,#2217,#793,.T.); #1867=EDGE_CURVE('',#2218,#2218,#794,.T.); #1868=EDGE_CURVE('',#2219,#2219,#795,.T.); #1869=EDGE_CURVE('',#2220,#2220,#796,.T.); #1870=EDGE_CURVE('',#2221,#2221,#797,.T.); #1871=EDGE_CURVE('',#2222,#2222,#798,.T.); #1872=EDGE_CURVE('',#2223,#2223,#799,.T.); #1873=EDGE_CURVE('',#2224,#2224,#800,.T.); #1874=EDGE_CURVE('',#2225,#2225,#801,.T.); #1875=EDGE_CURVE('',#2226,#2226,#802,.T.); #1876=EDGE_CURVE('',#2227,#2227,#803,.T.); #1877=EDGE_CURVE('',#2228,#2228,#804,.T.); #1878=EDGE_CURVE('',#2229,#2229,#805,.T.); #1879=EDGE_CURVE('',#2230,#2230,#806,.T.); #1880=EDGE_CURVE('',#2231,#2231,#807,.T.); #1881=EDGE_CURVE('',#2232,#2232,#808,.T.); #1882=EDGE_CURVE('',#2233,#2233,#809,.T.); #1883=EDGE_CURVE('',#2234,#2234,#810,.T.); #1884=EDGE_CURVE('',#2235,#2235,#811,.T.); #1885=EDGE_CURVE('',#2236,#2236,#812,.T.); #1886=EDGE_CURVE('',#2237,#2237,#813,.T.); #1887=EDGE_CURVE('',#2238,#2238,#814,.T.); #1888=EDGE_CURVE('',#2239,#2239,#815,.T.); #1889=EDGE_CURVE('',#2240,#2240,#816,.T.); #1890=EDGE_CURVE('',#2241,#2241,#817,.T.); #1891=EDGE_CURVE('',#2242,#2242,#818,.T.); #1892=EDGE_CURVE('',#2243,#2243,#819,.T.); #1893=EDGE_CURVE('',#2244,#2244,#820,.T.); #1894=EDGE_CURVE('',#2245,#2245,#821,.T.); #1895=EDGE_CURVE('',#2246,#2246,#822,.T.); #1896=EDGE_CURVE('',#2247,#2247,#823,.T.); #1897=EDGE_CURVE('',#2248,#2248,#824,.T.); #1898=EDGE_CURVE('',#2249,#2249,#825,.T.); #1899=EDGE_CURVE('',#2250,#2250,#826,.T.); #1900=EDGE_CURVE('',#2251,#2251,#827,.T.); #1901=EDGE_CURVE('',#2252,#2252,#828,.T.); #1902=EDGE_CURVE('',#2253,#2253,#829,.T.); #1903=EDGE_CURVE('',#2254,#2254,#830,.T.); #1904=EDGE_CURVE('',#2255,#2255,#831,.T.); #1905=EDGE_CURVE('',#2256,#2256,#832,.T.); #1906=EDGE_CURVE('',#2257,#2257,#833,.T.); #1907=EDGE_CURVE('',#2258,#2258,#834,.T.); #1908=EDGE_CURVE('',#2259,#2259,#835,.T.); #1909=EDGE_CURVE('',#2260,#2260,#836,.T.); #1910=EDGE_CURVE('',#2261,#2261,#837,.T.); #1911=EDGE_CURVE('',#2262,#2262,#838,.T.); #1912=EDGE_CURVE('',#2263,#2263,#839,.T.); #1913=EDGE_CURVE('',#2264,#2264,#840,.T.); #1914=EDGE_CURVE('',#2265,#2265,#841,.T.); #1915=EDGE_CURVE('',#2266,#2266,#842,.T.); #1916=EDGE_CURVE('',#2267,#2267,#843,.T.); #1917=EDGE_CURVE('',#2268,#2268,#844,.T.); #1918=EDGE_CURVE('',#2269,#2269,#845,.T.); #1919=EDGE_CURVE('',#2270,#2270,#846,.T.); #1920=EDGE_CURVE('',#2271,#2271,#847,.T.); #1921=EDGE_CURVE('',#2272,#2272,#848,.T.); #1922=EDGE_CURVE('',#2273,#2273,#849,.T.); #1923=EDGE_CURVE('',#2274,#2274,#850,.T.); #1924=EDGE_CURVE('',#2275,#2275,#851,.T.); #1925=EDGE_CURVE('',#2276,#2276,#852,.T.); #1926=EDGE_CURVE('',#2277,#2277,#853,.T.); #1927=EDGE_CURVE('',#2278,#2278,#854,.T.); #1928=EDGE_CURVE('',#2279,#2279,#855,.T.); #1929=EDGE_CURVE('',#2280,#2280,#856,.T.); #1930=EDGE_CURVE('',#2281,#2281,#857,.T.); #1931=EDGE_CURVE('',#2282,#2282,#858,.T.); #1932=EDGE_CURVE('',#2283,#2283,#859,.T.); #1933=EDGE_CURVE('',#2284,#2284,#860,.T.); #1934=EDGE_CURVE('',#2285,#2285,#861,.T.); #1935=EDGE_CURVE('',#2286,#2286,#862,.T.); #1936=EDGE_CURVE('',#2287,#2287,#863,.T.); #1937=EDGE_CURVE('',#2288,#2288,#864,.T.); #1938=EDGE_CURVE('',#2289,#2289,#865,.T.); #1939=EDGE_CURVE('',#2290,#2290,#866,.T.); #1940=EDGE_CURVE('',#2291,#2291,#867,.T.); #1941=EDGE_CURVE('',#2292,#2292,#868,.T.); #1942=EDGE_CURVE('',#2293,#2293,#869,.T.); #1943=EDGE_CURVE('',#2294,#2294,#870,.T.); #1944=EDGE_CURVE('',#2295,#2295,#871,.T.); #1945=EDGE_CURVE('',#2296,#2296,#872,.T.); #1946=EDGE_CURVE('',#2297,#2297,#873,.T.); #1947=EDGE_CURVE('',#2298,#2298,#874,.T.); #1948=EDGE_CURVE('',#2299,#2299,#875,.T.); #1949=EDGE_CURVE('',#2300,#2300,#876,.T.); #1950=EDGE_CURVE('',#2301,#2301,#877,.T.); #1951=EDGE_CURVE('',#2302,#2302,#878,.T.); #1952=EDGE_CURVE('',#2303,#2303,#879,.T.); #1953=EDGE_CURVE('',#2304,#2304,#880,.T.); #1954=EDGE_CURVE('',#2305,#2305,#881,.T.); #1955=EDGE_CURVE('',#2306,#2306,#882,.T.); #1956=EDGE_CURVE('',#2307,#2307,#883,.T.); #1957=EDGE_CURVE('',#2308,#2308,#884,.T.); #1958=EDGE_CURVE('',#2309,#2309,#885,.T.); #1959=EDGE_CURVE('',#2310,#2310,#886,.T.); #1960=EDGE_CURVE('',#2311,#2311,#887,.T.); #1961=EDGE_CURVE('',#2312,#2312,#888,.T.); #1962=EDGE_CURVE('',#2313,#2313,#889,.T.); #1963=EDGE_CURVE('',#2314,#2314,#890,.T.); #1964=EDGE_CURVE('',#2315,#2315,#891,.T.); #1965=EDGE_CURVE('',#2316,#2316,#892,.T.); #1966=EDGE_CURVE('',#2317,#2317,#893,.T.); #1967=EDGE_CURVE('',#2318,#2318,#894,.T.); #1968=EDGE_CURVE('',#2319,#2319,#895,.T.); #1969=EDGE_CURVE('',#2320,#2320,#896,.T.); #1970=EDGE_CURVE('',#2321,#2321,#897,.T.); #1971=EDGE_CURVE('',#2322,#2322,#898,.T.); #1972=EDGE_CURVE('',#2323,#2323,#899,.T.); #1973=EDGE_CURVE('',#2324,#2324,#900,.T.); #1974=EDGE_CURVE('',#2325,#2325,#901,.T.); #1975=EDGE_CURVE('',#2326,#2326,#902,.T.); #1976=EDGE_CURVE('',#2327,#2327,#903,.T.); #1977=EDGE_CURVE('',#2328,#2328,#904,.T.); #1978=EDGE_CURVE('',#2329,#2329,#905,.T.); #1979=EDGE_CURVE('',#2330,#2330,#906,.T.); #1980=EDGE_CURVE('',#2108,#2112,#2467,.T.); #1981=EDGE_CURVE('',#2107,#2113,#2468,.T.); #1982=EDGE_CURVE('',#2331,#2331,#907,.T.); #1983=EDGE_CURVE('',#2332,#2332,#908,.T.); #1984=EDGE_CURVE('',#2333,#2333,#909,.T.); #1985=EDGE_CURVE('',#2334,#2334,#910,.T.); #1986=EDGE_CURVE('',#2335,#2335,#911,.T.); #1987=EDGE_CURVE('',#2336,#2336,#912,.T.); #1988=EDGE_CURVE('',#2337,#2337,#913,.T.); #1989=EDGE_CURVE('',#2338,#2338,#914,.T.); #1990=EDGE_CURVE('',#2339,#2339,#915,.T.); #1991=EDGE_CURVE('',#2340,#2340,#916,.T.); #1992=EDGE_CURVE('',#2341,#2341,#917,.T.); #1993=EDGE_CURVE('',#2342,#2342,#918,.T.); #1994=EDGE_CURVE('',#2343,#2343,#919,.T.); #1995=EDGE_CURVE('',#2344,#2344,#920,.T.); #1996=EDGE_CURVE('',#2345,#2345,#921,.T.); #1997=EDGE_CURVE('',#2346,#2346,#922,.T.); #1998=EDGE_CURVE('',#2347,#2347,#923,.T.); #1999=EDGE_CURVE('',#2348,#2348,#924,.T.); #2000=EDGE_CURVE('',#2349,#2349,#925,.T.); #2001=EDGE_CURVE('',#2350,#2350,#926,.T.); #2002=EDGE_CURVE('',#2351,#2351,#927,.T.); #2003=EDGE_CURVE('',#2352,#2352,#928,.T.); #2004=EDGE_CURVE('',#2353,#2353,#929,.T.); #2005=EDGE_CURVE('',#2354,#2354,#930,.T.); #2006=EDGE_CURVE('',#2355,#2355,#931,.T.); #2007=EDGE_CURVE('',#2356,#2356,#932,.T.); #2008=EDGE_CURVE('',#2357,#2357,#933,.T.); #2009=EDGE_CURVE('',#2358,#2358,#934,.T.); #2010=EDGE_CURVE('',#2359,#2359,#935,.T.); #2011=EDGE_CURVE('',#2360,#2360,#936,.T.); #2012=EDGE_CURVE('',#2361,#2361,#937,.T.); #2013=EDGE_CURVE('',#2106,#2114,#2469,.T.); #2014=EDGE_CURVE('',#2105,#2115,#2470,.T.); #2015=EDGE_CURVE('',#2362,#2362,#938,.T.); #2016=EDGE_CURVE('',#2363,#2363,#939,.T.); #2017=EDGE_CURVE('',#2364,#2364,#940,.T.); #2018=EDGE_CURVE('',#2365,#2365,#941,.T.); #2019=EDGE_CURVE('',#2366,#2366,#942,.T.); #2020=EDGE_CURVE('',#2367,#2367,#943,.T.); #2021=EDGE_CURVE('',#2368,#2368,#944,.T.); #2022=EDGE_CURVE('',#2369,#2369,#945,.T.); #2023=EDGE_CURVE('',#2370,#2370,#946,.T.); #2024=EDGE_CURVE('',#2371,#2371,#947,.T.); #2025=EDGE_CURVE('',#2372,#2372,#948,.T.); #2026=EDGE_CURVE('',#2373,#2373,#949,.T.); #2027=EDGE_CURVE('',#2374,#2374,#950,.T.); #2028=EDGE_CURVE('',#2375,#2375,#951,.T.); #2029=EDGE_CURVE('',#2376,#2376,#952,.T.); #2030=EDGE_CURVE('',#2377,#2377,#953,.T.); #2031=EDGE_CURVE('',#2378,#2378,#954,.T.); #2032=EDGE_CURVE('',#2379,#2379,#955,.T.); #2033=EDGE_CURVE('',#2380,#2380,#956,.T.); #2034=EDGE_CURVE('',#2381,#2381,#957,.T.); #2035=EDGE_CURVE('',#2382,#2382,#958,.T.); #2036=EDGE_CURVE('',#2383,#2383,#959,.T.); #2037=EDGE_CURVE('',#2384,#2384,#960,.T.); #2038=EDGE_CURVE('',#2385,#2385,#961,.T.); #2039=EDGE_CURVE('',#2386,#2386,#962,.T.); #2040=EDGE_CURVE('',#2387,#2387,#963,.T.); #2041=EDGE_CURVE('',#2388,#2388,#964,.T.); #2042=EDGE_CURVE('',#2389,#2389,#965,.T.); #2043=EDGE_CURVE('',#2390,#2390,#966,.T.); #2044=EDGE_CURVE('',#2391,#2391,#967,.T.); #2045=EDGE_CURVE('',#2392,#2392,#968,.T.); #2046=EDGE_CURVE('',#2393,#2393,#969,.T.); #2047=EDGE_CURVE('',#2394,#2394,#970,.T.); #2048=EDGE_CURVE('',#2395,#2395,#971,.T.); #2049=EDGE_CURVE('',#2396,#2396,#972,.T.); #2050=EDGE_CURVE('',#2397,#2397,#973,.T.); #2051=EDGE_CURVE('',#2398,#2398,#974,.T.); #2052=EDGE_CURVE('',#2399,#2399,#975,.T.); #2053=EDGE_CURVE('',#2400,#2400,#976,.T.); #2054=EDGE_CURVE('',#2401,#2401,#977,.T.); #2055=EDGE_CURVE('',#2402,#2402,#978,.T.); #2056=EDGE_CURVE('',#2403,#2403,#979,.T.); #2057=EDGE_CURVE('',#2404,#2404,#980,.T.); #2058=EDGE_CURVE('',#2405,#2405,#981,.T.); #2059=EDGE_CURVE('',#2406,#2406,#982,.T.); #2060=EDGE_CURVE('',#2407,#2407,#983,.T.); #2061=EDGE_CURVE('',#2408,#2408,#984,.T.); #2062=EDGE_CURVE('',#2409,#2409,#985,.T.); #2063=EDGE_CURVE('',#2410,#2410,#986,.T.); #2064=EDGE_CURVE('',#2411,#2411,#987,.T.); #2065=EDGE_CURVE('',#2412,#2412,#988,.T.); #2066=EDGE_CURVE('',#2413,#2413,#989,.T.); #2067=EDGE_CURVE('',#2414,#2414,#990,.T.); #2068=EDGE_CURVE('',#2415,#2415,#991,.T.); #2069=EDGE_CURVE('',#2416,#2416,#992,.T.); #2070=EDGE_CURVE('',#2417,#2417,#993,.T.); #2071=EDGE_CURVE('',#2418,#2418,#994,.T.); #2072=EDGE_CURVE('',#2419,#2419,#995,.T.); #2073=EDGE_CURVE('',#2420,#2420,#996,.T.); #2074=EDGE_CURVE('',#2421,#2421,#997,.T.); #2075=EDGE_CURVE('',#2422,#2422,#998,.T.); #2076=EDGE_CURVE('',#2423,#2423,#999,.T.); #2077=EDGE_CURVE('',#2424,#2424,#1000,.T.); #2078=EDGE_CURVE('',#2425,#2425,#1001,.F.); #2079=EDGE_CURVE('',#2426,#2426,#1002,.F.); #2080=EDGE_CURVE('',#2427,#2427,#1003,.T.); #2081=EDGE_CURVE('',#2428,#2428,#1004,.T.); #2082=EDGE_CURVE('',#2429,#2429,#1005,.T.); #2083=EDGE_CURVE('',#2430,#2430,#1006,.T.); #2084=EDGE_CURVE('',#2431,#2431,#1007,.F.); #2085=EDGE_CURVE('',#2432,#2432,#1008,.F.); #2086=EDGE_CURVE('',#2433,#2433,#1009,.T.); #2087=EDGE_CURVE('',#2434,#2434,#1010,.T.); #2088=EDGE_CURVE('',#2435,#2435,#1011,.F.); #2089=EDGE_CURVE('',#2436,#2436,#1012,.T.); #2090=EDGE_CURVE('',#2437,#2437,#1013,.T.); #2091=EDGE_CURVE('',#2438,#2438,#1014,.T.); #2092=EDGE_CURVE('',#2439,#2439,#1015,.T.); #2093=EDGE_CURVE('',#2440,#2440,#1016,.F.); #2094=EDGE_CURVE('',#2441,#2441,#1017,.T.); #2095=EDGE_CURVE('',#2442,#2442,#1018,.T.); #2096=VERTEX_POINT('',#6032); #2097=VERTEX_POINT('',#6033); #2098=VERTEX_POINT('',#6035); #2099=VERTEX_POINT('',#6037); #2100=VERTEX_POINT('',#6041); #2101=VERTEX_POINT('',#6042); #2102=VERTEX_POINT('',#6044); #2103=VERTEX_POINT('',#6046); #2104=VERTEX_POINT('',#6048); #2105=VERTEX_POINT('',#6051); #2106=VERTEX_POINT('',#6053); #2107=VERTEX_POINT('',#6055); #2108=VERTEX_POINT('',#6057); #2109=VERTEX_POINT('',#6059); #2110=VERTEX_POINT('',#6063); #2111=VERTEX_POINT('',#6065); #2112=VERTEX_POINT('',#6069); #2113=VERTEX_POINT('',#6070); #2114=VERTEX_POINT('',#6072); #2115=VERTEX_POINT('',#6074); #2116=VERTEX_POINT('',#6077); #2117=VERTEX_POINT('',#6079); #2118=VERTEX_POINT('',#6081); #2119=VERTEX_POINT('',#6083); #2120=VERTEX_POINT('',#6088); #2121=VERTEX_POINT('',#6090); #2122=VERTEX_POINT('',#6092); #2123=VERTEX_POINT('',#6094); #2124=VERTEX_POINT('',#6096); #2125=VERTEX_POINT('',#6098); #2126=VERTEX_POINT('',#6100); #2127=VERTEX_POINT('',#6102); #2128=VERTEX_POINT('',#6104); #2129=VERTEX_POINT('',#6106); #2130=VERTEX_POINT('',#6108); #2131=VERTEX_POINT('',#6110); #2132=VERTEX_POINT('',#6112); #2133=VERTEX_POINT('',#6114); #2134=VERTEX_POINT('',#6116); #2135=VERTEX_POINT('',#6118); #2136=VERTEX_POINT('',#6120); #2137=VERTEX_POINT('',#6122); #2138=VERTEX_POINT('',#6124); #2139=VERTEX_POINT('',#6126); #2140=VERTEX_POINT('',#6128); #2141=VERTEX_POINT('',#6130); #2142=VERTEX_POINT('',#6132); #2143=VERTEX_POINT('',#6134); #2144=VERTEX_POINT('',#6136); #2145=VERTEX_POINT('',#6138); #2146=VERTEX_POINT('',#6140); #2147=VERTEX_POINT('',#6142); #2148=VERTEX_POINT('',#6144); #2149=VERTEX_POINT('',#6146); #2150=VERTEX_POINT('',#6148); #2151=VERTEX_POINT('',#6150); #2152=VERTEX_POINT('',#6152); #2153=VERTEX_POINT('',#6154); #2154=VERTEX_POINT('',#6156); #2155=VERTEX_POINT('',#6158); #2156=VERTEX_POINT('',#6160); #2157=VERTEX_POINT('',#6162); #2158=VERTEX_POINT('',#6164); #2159=VERTEX_POINT('',#6166); #2160=VERTEX_POINT('',#6168); #2161=VERTEX_POINT('',#6170); #2162=VERTEX_POINT('',#6172); #2163=VERTEX_POINT('',#6174); #2164=VERTEX_POINT('',#6176); #2165=VERTEX_POINT('',#6178); #2166=VERTEX_POINT('',#6180); #2167=VERTEX_POINT('',#6182); #2168=VERTEX_POINT('',#6184); #2169=VERTEX_POINT('',#6186); #2170=VERTEX_POINT('',#6188); #2171=VERTEX_POINT('',#6190); #2172=VERTEX_POINT('',#6192); #2173=VERTEX_POINT('',#6194); #2174=VERTEX_POINT('',#6196); #2175=VERTEX_POINT('',#6198); #2176=VERTEX_POINT('',#6200); #2177=VERTEX_POINT('',#6202); #2178=VERTEX_POINT('',#6204); #2179=VERTEX_POINT('',#6206); #2180=VERTEX_POINT('',#6212); #2181=VERTEX_POINT('',#6214); #2182=VERTEX_POINT('',#6216); #2183=VERTEX_POINT('',#6218); #2184=VERTEX_POINT('',#6220); #2185=VERTEX_POINT('',#6222); #2186=VERTEX_POINT('',#6224); #2187=VERTEX_POINT('',#6226); #2188=VERTEX_POINT('',#6228); #2189=VERTEX_POINT('',#6230); #2190=VERTEX_POINT('',#6232); #2191=VERTEX_POINT('',#6234); #2192=VERTEX_POINT('',#6236); #2193=VERTEX_POINT('',#6238); #2194=VERTEX_POINT('',#6240); #2195=VERTEX_POINT('',#6242); #2196=VERTEX_POINT('',#6244); #2197=VERTEX_POINT('',#6246); #2198=VERTEX_POINT('',#6248); #2199=VERTEX_POINT('',#6250); #2200=VERTEX_POINT('',#6252); #2201=VERTEX_POINT('',#6254); #2202=VERTEX_POINT('',#6256); #2203=VERTEX_POINT('',#6258); #2204=VERTEX_POINT('',#6260); #2205=VERTEX_POINT('',#6262); #2206=VERTEX_POINT('',#6264); #2207=VERTEX_POINT('',#6266); #2208=VERTEX_POINT('',#6268); #2209=VERTEX_POINT('',#6270); #2210=VERTEX_POINT('',#6272); #2211=VERTEX_POINT('',#6278); #2212=VERTEX_POINT('',#6280); #2213=VERTEX_POINT('',#6282); #2214=VERTEX_POINT('',#6284); #2215=VERTEX_POINT('',#6286); #2216=VERTEX_POINT('',#6288); #2217=VERTEX_POINT('',#6290); #2218=VERTEX_POINT('',#6292); #2219=VERTEX_POINT('',#6294); #2220=VERTEX_POINT('',#6296); #2221=VERTEX_POINT('',#6298); #2222=VERTEX_POINT('',#6300); #2223=VERTEX_POINT('',#6302); #2224=VERTEX_POINT('',#6304); #2225=VERTEX_POINT('',#6306); #2226=VERTEX_POINT('',#6308); #2227=VERTEX_POINT('',#6310); #2228=VERTEX_POINT('',#6312); #2229=VERTEX_POINT('',#6314); #2230=VERTEX_POINT('',#6316); #2231=VERTEX_POINT('',#6318); #2232=VERTEX_POINT('',#6320); #2233=VERTEX_POINT('',#6322); #2234=VERTEX_POINT('',#6324); #2235=VERTEX_POINT('',#6326); #2236=VERTEX_POINT('',#6328); #2237=VERTEX_POINT('',#6330); #2238=VERTEX_POINT('',#6332); #2239=VERTEX_POINT('',#6334); #2240=VERTEX_POINT('',#6336); #2241=VERTEX_POINT('',#6338); #2242=VERTEX_POINT('',#6340); #2243=VERTEX_POINT('',#6342); #2244=VERTEX_POINT('',#6344); #2245=VERTEX_POINT('',#6346); #2246=VERTEX_POINT('',#6348); #2247=VERTEX_POINT('',#6350); #2248=VERTEX_POINT('',#6352); #2249=VERTEX_POINT('',#6354); #2250=VERTEX_POINT('',#6356); #2251=VERTEX_POINT('',#6358); #2252=VERTEX_POINT('',#6360); #2253=VERTEX_POINT('',#6362); #2254=VERTEX_POINT('',#6364); #2255=VERTEX_POINT('',#6366); #2256=VERTEX_POINT('',#6368); #2257=VERTEX_POINT('',#6370); #2258=VERTEX_POINT('',#6372); #2259=VERTEX_POINT('',#6374); #2260=VERTEX_POINT('',#6376); #2261=VERTEX_POINT('',#6378); #2262=VERTEX_POINT('',#6380); #2263=VERTEX_POINT('',#6382); #2264=VERTEX_POINT('',#6384); #2265=VERTEX_POINT('',#6386); #2266=VERTEX_POINT('',#6388); #2267=VERTEX_POINT('',#6390); #2268=VERTEX_POINT('',#6392); #2269=VERTEX_POINT('',#6394); #2270=VERTEX_POINT('',#6396); #2271=VERTEX_POINT('',#6399); #2272=VERTEX_POINT('',#6401); #2273=VERTEX_POINT('',#6403); #2274=VERTEX_POINT('',#6405); #2275=VERTEX_POINT('',#6407); #2276=VERTEX_POINT('',#6409); #2277=VERTEX_POINT('',#6411); #2278=VERTEX_POINT('',#6413); #2279=VERTEX_POINT('',#6415); #2280=VERTEX_POINT('',#6417); #2281=VERTEX_POINT('',#6419); #2282=VERTEX_POINT('',#6421); #2283=VERTEX_POINT('',#6423); #2284=VERTEX_POINT('',#6425); #2285=VERTEX_POINT('',#6427); #2286=VERTEX_POINT('',#6429); #2287=VERTEX_POINT('',#6431); #2288=VERTEX_POINT('',#6433); #2289=VERTEX_POINT('',#6435); #2290=VERTEX_POINT('',#6437); #2291=VERTEX_POINT('',#6439); #2292=VERTEX_POINT('',#6441); #2293=VERTEX_POINT('',#6443); #2294=VERTEX_POINT('',#6445); #2295=VERTEX_POINT('',#6447); #2296=VERTEX_POINT('',#6449); #2297=VERTEX_POINT('',#6451); #2298=VERTEX_POINT('',#6453); #2299=VERTEX_POINT('',#6455); #2300=VERTEX_POINT('',#6457); #2301=VERTEX_POINT('',#6459); #2302=VERTEX_POINT('',#6461); #2303=VERTEX_POINT('',#6463); #2304=VERTEX_POINT('',#6465); #2305=VERTEX_POINT('',#6467); #2306=VERTEX_POINT('',#6469); #2307=VERTEX_POINT('',#6471); #2308=VERTEX_POINT('',#6473); #2309=VERTEX_POINT('',#6475); #2310=VERTEX_POINT('',#6477); #2311=VERTEX_POINT('',#6479); #2312=VERTEX_POINT('',#6481); #2313=VERTEX_POINT('',#6483); #2314=VERTEX_POINT('',#6485); #2315=VERTEX_POINT('',#6487); #2316=VERTEX_POINT('',#6489); #2317=VERTEX_POINT('',#6491); #2318=VERTEX_POINT('',#6493); #2319=VERTEX_POINT('',#6495); #2320=VERTEX_POINT('',#6497); #2321=VERTEX_POINT('',#6499); #2322=VERTEX_POINT('',#6501); #2323=VERTEX_POINT('',#6503); #2324=VERTEX_POINT('',#6505); #2325=VERTEX_POINT('',#6507); #2326=VERTEX_POINT('',#6509); #2327=VERTEX_POINT('',#6511); #2328=VERTEX_POINT('',#6513); #2329=VERTEX_POINT('',#6515); #2330=VERTEX_POINT('',#6517); #2331=VERTEX_POINT('',#6523); #2332=VERTEX_POINT('',#6525); #2333=VERTEX_POINT('',#6527); #2334=VERTEX_POINT('',#6529); #2335=VERTEX_POINT('',#6531); #2336=VERTEX_POINT('',#6533); #2337=VERTEX_POINT('',#6535); #2338=VERTEX_POINT('',#6537); #2339=VERTEX_POINT('',#6539); #2340=VERTEX_POINT('',#6541); #2341=VERTEX_POINT('',#6543); #2342=VERTEX_POINT('',#6545); #2343=VERTEX_POINT('',#6547); #2344=VERTEX_POINT('',#6549); #2345=VERTEX_POINT('',#6551); #2346=VERTEX_POINT('',#6553); #2347=VERTEX_POINT('',#6555); #2348=VERTEX_POINT('',#6557); #2349=VERTEX_POINT('',#6559); #2350=VERTEX_POINT('',#6561); #2351=VERTEX_POINT('',#6563); #2352=VERTEX_POINT('',#6565); #2353=VERTEX_POINT('',#6567); #2354=VERTEX_POINT('',#6569); #2355=VERTEX_POINT('',#6571); #2356=VERTEX_POINT('',#6573); #2357=VERTEX_POINT('',#6575); #2358=VERTEX_POINT('',#6577); #2359=VERTEX_POINT('',#6579); #2360=VERTEX_POINT('',#6581); #2361=VERTEX_POINT('',#6583); #2362=VERTEX_POINT('',#6589); #2363=VERTEX_POINT('',#6591); #2364=VERTEX_POINT('',#6593); #2365=VERTEX_POINT('',#6595); #2366=VERTEX_POINT('',#6597); #2367=VERTEX_POINT('',#6599); #2368=VERTEX_POINT('',#6601); #2369=VERTEX_POINT('',#6603); #2370=VERTEX_POINT('',#6605); #2371=VERTEX_POINT('',#6607); #2372=VERTEX_POINT('',#6609); #2373=VERTEX_POINT('',#6611); #2374=VERTEX_POINT('',#6613); #2375=VERTEX_POINT('',#6615); #2376=VERTEX_POINT('',#6617); #2377=VERTEX_POINT('',#6619); #2378=VERTEX_POINT('',#6621); #2379=VERTEX_POINT('',#6623); #2380=VERTEX_POINT('',#6625); #2381=VERTEX_POINT('',#6627); #2382=VERTEX_POINT('',#6629); #2383=VERTEX_POINT('',#6631); #2384=VERTEX_POINT('',#6633); #2385=VERTEX_POINT('',#6635); #2386=VERTEX_POINT('',#6637); #2387=VERTEX_POINT('',#6639); #2388=VERTEX_POINT('',#6641); #2389=VERTEX_POINT('',#6643); #2390=VERTEX_POINT('',#6645); #2391=VERTEX_POINT('',#6647); #2392=VERTEX_POINT('',#6649); #2393=VERTEX_POINT('',#6651); #2394=VERTEX_POINT('',#6653); #2395=VERTEX_POINT('',#6655); #2396=VERTEX_POINT('',#6657); #2397=VERTEX_POINT('',#6659); #2398=VERTEX_POINT('',#6661); #2399=VERTEX_POINT('',#6663); #2400=VERTEX_POINT('',#6665); #2401=VERTEX_POINT('',#6667); #2402=VERTEX_POINT('',#6669); #2403=VERTEX_POINT('',#6671); #2404=VERTEX_POINT('',#6673); #2405=VERTEX_POINT('',#6675); #2406=VERTEX_POINT('',#6677); #2407=VERTEX_POINT('',#6679); #2408=VERTEX_POINT('',#6681); #2409=VERTEX_POINT('',#6683); #2410=VERTEX_POINT('',#6685); #2411=VERTEX_POINT('',#6687); #2412=VERTEX_POINT('',#6689); #2413=VERTEX_POINT('',#6691); #2414=VERTEX_POINT('',#6693); #2415=VERTEX_POINT('',#6695); #2416=VERTEX_POINT('',#6697); #2417=VERTEX_POINT('',#6699); #2418=VERTEX_POINT('',#6701); #2419=VERTEX_POINT('',#6703); #2420=VERTEX_POINT('',#6705); #2421=VERTEX_POINT('',#6707); #2422=VERTEX_POINT('',#6862); #2423=VERTEX_POINT('',#6864); #2424=VERTEX_POINT('',#6867); #2425=VERTEX_POINT('',#6870); #2426=VERTEX_POINT('',#6872); #2427=VERTEX_POINT('',#6875); #2428=VERTEX_POINT('',#6881); #2429=VERTEX_POINT('',#6883); #2430=VERTEX_POINT('',#6886); #2431=VERTEX_POINT('',#6889); #2432=VERTEX_POINT('',#6891); #2433=VERTEX_POINT('',#6894); #2434=VERTEX_POINT('',#6901); #2435=VERTEX_POINT('',#6904); #2436=VERTEX_POINT('',#6906); #2437=VERTEX_POINT('',#6909); #2438=VERTEX_POINT('',#6912); #2439=VERTEX_POINT('',#6915); #2440=VERTEX_POINT('',#6918); #2441=VERTEX_POINT('',#6921); #2442=VERTEX_POINT('',#6924); #2443=LINE('',#6031,#2471); #2444=LINE('',#6034,#2472); #2445=LINE('',#6036,#2473); #2446=LINE('',#6038,#2474); #2447=LINE('',#6040,#2475); #2448=LINE('',#6045,#2476); #2449=LINE('',#6049,#2477); #2450=LINE('',#6050,#2478); #2451=LINE('',#6054,#2479); #2452=LINE('',#6058,#2480); #2453=LINE('',#6060,#2481); #2454=LINE('',#6062,#2482); #2455=LINE('',#6064,#2483); #2456=LINE('',#6066,#2484); #2457=LINE('',#6071,#2485); #2458=LINE('',#6075,#2486); #2459=LINE('',#6076,#2487); #2460=LINE('',#6080,#2488); #2461=LINE('',#6084,#2489); #2462=LINE('',#6085,#2490); #2463=LINE('',#6207,#2491); #2464=LINE('',#6209,#2492); #2465=LINE('',#6273,#2493); #2466=LINE('',#6275,#2494); #2467=LINE('',#6518,#2495); #2468=LINE('',#6520,#2496); #2469=LINE('',#6584,#2497); #2470=LINE('',#6586,#2498); #2471=VECTOR('',#4721,1000.); #2472=VECTOR('',#4722,1000.); #2473=VECTOR('',#4723,1000.); #2474=VECTOR('',#4724,1000.); #2475=VECTOR('',#4727,1000.); #2476=VECTOR('',#4730,1000.); #2477=VECTOR('',#4733,1000.); #2478=VECTOR('',#4734,1000.); #2479=VECTOR('',#4737,1000.); #2480=VECTOR('',#4740,1000.); #2481=VECTOR('',#4741,1000.); #2482=VECTOR('',#4744,1000.); #2483=VECTOR('',#4745,1000.); #2484=VECTOR('',#4746,1000.); #2485=VECTOR('',#4751,1000.); #2486=VECTOR('',#4754,1000.); #2487=VECTOR('',#4755,1000.); #2488=VECTOR('',#4758,1000.); #2489=VECTOR('',#4761,1000.); #2490=VECTOR('',#4762,1000.); #2491=VECTOR('',#4885,1000.); #2492=VECTOR('',#4888,1000.); #2493=VECTOR('',#4953,1000.); #2494=VECTOR('',#4956,1000.); #2495=VECTOR('',#5201,1000.); #2496=VECTOR('',#5204,1000.); #2497=VECTOR('',#5269,1000.); #2498=VECTOR('',#5272,1000.); #2499=EDGE_LOOP('',(#1019,#1020,#1021,#1022)); #2500=EDGE_LOOP('',(#1023,#1024,#1025,#1026,#1027,#1028,#1029,#1030,#1031, #1032,#1033,#1034)); #2501=EDGE_LOOP('',(#1035,#1036,#1037,#1038)); #2502=EDGE_LOOP('',(#1039,#1040,#1041,#1042,#1043,#1044,#1045,#1046,#1047, #1048,#1049,#1050)); #2503=EDGE_LOOP('',(#1051)); #2504=EDGE_LOOP('',(#1052)); #2505=EDGE_LOOP('',(#1053)); #2506=EDGE_LOOP('',(#1054)); #2507=EDGE_LOOP('',(#1055)); #2508=EDGE_LOOP('',(#1056)); #2509=EDGE_LOOP('',(#1057)); #2510=EDGE_LOOP('',(#1058)); #2511=EDGE_LOOP('',(#1059)); #2512=EDGE_LOOP('',(#1060)); #2513=EDGE_LOOP('',(#1061)); #2514=EDGE_LOOP('',(#1062)); #2515=EDGE_LOOP('',(#1063)); #2516=EDGE_LOOP('',(#1064)); #2517=EDGE_LOOP('',(#1065)); #2518=EDGE_LOOP('',(#1066)); #2519=EDGE_LOOP('',(#1067)); #2520=EDGE_LOOP('',(#1068)); #2521=EDGE_LOOP('',(#1069)); #2522=EDGE_LOOP('',(#1070)); #2523=EDGE_LOOP('',(#1071)); #2524=EDGE_LOOP('',(#1072)); #2525=EDGE_LOOP('',(#1073)); #2526=EDGE_LOOP('',(#1074)); #2527=EDGE_LOOP('',(#1075)); #2528=EDGE_LOOP('',(#1076)); #2529=EDGE_LOOP('',(#1077)); #2530=EDGE_LOOP('',(#1078)); #2531=EDGE_LOOP('',(#1079)); #2532=EDGE_LOOP('',(#1080)); #2533=EDGE_LOOP('',(#1081)); #2534=EDGE_LOOP('',(#1082)); #2535=EDGE_LOOP('',(#1083)); #2536=EDGE_LOOP('',(#1084)); #2537=EDGE_LOOP('',(#1085)); #2538=EDGE_LOOP('',(#1086)); #2539=EDGE_LOOP('',(#1087)); #2540=EDGE_LOOP('',(#1088)); #2541=EDGE_LOOP('',(#1089)); #2542=EDGE_LOOP('',(#1090)); #2543=EDGE_LOOP('',(#1091)); #2544=EDGE_LOOP('',(#1092)); #2545=EDGE_LOOP('',(#1093)); #2546=EDGE_LOOP('',(#1094)); #2547=EDGE_LOOP('',(#1095)); #2548=EDGE_LOOP('',(#1096)); #2549=EDGE_LOOP('',(#1097)); #2550=EDGE_LOOP('',(#1098)); #2551=EDGE_LOOP('',(#1099)); #2552=EDGE_LOOP('',(#1100)); #2553=EDGE_LOOP('',(#1101)); #2554=EDGE_LOOP('',(#1102)); #2555=EDGE_LOOP('',(#1103)); #2556=EDGE_LOOP('',(#1104)); #2557=EDGE_LOOP('',(#1105)); #2558=EDGE_LOOP('',(#1106)); #2559=EDGE_LOOP('',(#1107)); #2560=EDGE_LOOP('',(#1108)); #2561=EDGE_LOOP('',(#1109)); #2562=EDGE_LOOP('',(#1110)); #2563=EDGE_LOOP('',(#1111,#1112,#1113,#1114)); #2564=EDGE_LOOP('',(#1115,#1116,#1117,#1118)); #2565=EDGE_LOOP('',(#1119)); #2566=EDGE_LOOP('',(#1120)); #2567=EDGE_LOOP('',(#1121)); #2568=EDGE_LOOP('',(#1122)); #2569=EDGE_LOOP('',(#1123)); #2570=EDGE_LOOP('',(#1124)); #2571=EDGE_LOOP('',(#1125)); #2572=EDGE_LOOP('',(#1126)); #2573=EDGE_LOOP('',(#1127)); #2574=EDGE_LOOP('',(#1128)); #2575=EDGE_LOOP('',(#1129)); #2576=EDGE_LOOP('',(#1130)); #2577=EDGE_LOOP('',(#1131)); #2578=EDGE_LOOP('',(#1132)); #2579=EDGE_LOOP('',(#1133)); #2580=EDGE_LOOP('',(#1134)); #2581=EDGE_LOOP('',(#1135)); #2582=EDGE_LOOP('',(#1136)); #2583=EDGE_LOOP('',(#1137)); #2584=EDGE_LOOP('',(#1138)); #2585=EDGE_LOOP('',(#1139)); #2586=EDGE_LOOP('',(#1140)); #2587=EDGE_LOOP('',(#1141)); #2588=EDGE_LOOP('',(#1142)); #2589=EDGE_LOOP('',(#1143)); #2590=EDGE_LOOP('',(#1144)); #2591=EDGE_LOOP('',(#1145)); #2592=EDGE_LOOP('',(#1146)); #2593=EDGE_LOOP('',(#1147)); #2594=EDGE_LOOP('',(#1148)); #2595=EDGE_LOOP('',(#1149)); #2596=EDGE_LOOP('',(#1150,#1151,#1152,#1153)); #2597=EDGE_LOOP('',(#1154,#1155,#1156,#1157)); #2598=EDGE_LOOP('',(#1158)); #2599=EDGE_LOOP('',(#1159)); #2600=EDGE_LOOP('',(#1160)); #2601=EDGE_LOOP('',(#1161)); #2602=EDGE_LOOP('',(#1162)); #2603=EDGE_LOOP('',(#1163)); #2604=EDGE_LOOP('',(#1164)); #2605=EDGE_LOOP('',(#1165)); #2606=EDGE_LOOP('',(#1166)); #2607=EDGE_LOOP('',(#1167)); #2608=EDGE_LOOP('',(#1168)); #2609=EDGE_LOOP('',(#1169)); #2610=EDGE_LOOP('',(#1170)); #2611=EDGE_LOOP('',(#1171)); #2612=EDGE_LOOP('',(#1172)); #2613=EDGE_LOOP('',(#1173)); #2614=EDGE_LOOP('',(#1174)); #2615=EDGE_LOOP('',(#1175)); #2616=EDGE_LOOP('',(#1176)); #2617=EDGE_LOOP('',(#1177)); #2618=EDGE_LOOP('',(#1178)); #2619=EDGE_LOOP('',(#1179)); #2620=EDGE_LOOP('',(#1180)); #2621=EDGE_LOOP('',(#1181)); #2622=EDGE_LOOP('',(#1182)); #2623=EDGE_LOOP('',(#1183)); #2624=EDGE_LOOP('',(#1184)); #2625=EDGE_LOOP('',(#1185)); #2626=EDGE_LOOP('',(#1186)); #2627=EDGE_LOOP('',(#1187)); #2628=EDGE_LOOP('',(#1188)); #2629=EDGE_LOOP('',(#1189)); #2630=EDGE_LOOP('',(#1190)); #2631=EDGE_LOOP('',(#1191)); #2632=EDGE_LOOP('',(#1192)); #2633=EDGE_LOOP('',(#1193)); #2634=EDGE_LOOP('',(#1194)); #2635=EDGE_LOOP('',(#1195)); #2636=EDGE_LOOP('',(#1196)); #2637=EDGE_LOOP('',(#1197)); #2638=EDGE_LOOP('',(#1198)); #2639=EDGE_LOOP('',(#1199)); #2640=EDGE_LOOP('',(#1200)); #2641=EDGE_LOOP('',(#1201)); #2642=EDGE_LOOP('',(#1202)); #2643=EDGE_LOOP('',(#1203)); #2644=EDGE_LOOP('',(#1204)); #2645=EDGE_LOOP('',(#1205)); #2646=EDGE_LOOP('',(#1206)); #2647=EDGE_LOOP('',(#1207)); #2648=EDGE_LOOP('',(#1208)); #2649=EDGE_LOOP('',(#1209)); #2650=EDGE_LOOP('',(#1210)); #2651=EDGE_LOOP('',(#1211)); #2652=EDGE_LOOP('',(#1212)); #2653=EDGE_LOOP('',(#1213)); #2654=EDGE_LOOP('',(#1214)); #2655=EDGE_LOOP('',(#1215)); #2656=EDGE_LOOP('',(#1216)); #2657=EDGE_LOOP('',(#1217)); #2658=EDGE_LOOP('',(#1218,#1219,#1220,#1221)); #2659=EDGE_LOOP('',(#1222)); #2660=EDGE_LOOP('',(#1223)); #2661=EDGE_LOOP('',(#1224)); #2662=EDGE_LOOP('',(#1225)); #2663=EDGE_LOOP('',(#1226)); #2664=EDGE_LOOP('',(#1227)); #2665=EDGE_LOOP('',(#1228)); #2666=EDGE_LOOP('',(#1229)); #2667=EDGE_LOOP('',(#1230)); #2668=EDGE_LOOP('',(#1231)); #2669=EDGE_LOOP('',(#1232)); #2670=EDGE_LOOP('',(#1233)); #2671=EDGE_LOOP('',(#1234)); #2672=EDGE_LOOP('',(#1235)); #2673=EDGE_LOOP('',(#1236)); #2674=EDGE_LOOP('',(#1237)); #2675=EDGE_LOOP('',(#1238)); #2676=EDGE_LOOP('',(#1239)); #2677=EDGE_LOOP('',(#1240)); #2678=EDGE_LOOP('',(#1241)); #2679=EDGE_LOOP('',(#1242)); #2680=EDGE_LOOP('',(#1243)); #2681=EDGE_LOOP('',(#1244)); #2682=EDGE_LOOP('',(#1245)); #2683=EDGE_LOOP('',(#1246)); #2684=EDGE_LOOP('',(#1247)); #2685=EDGE_LOOP('',(#1248)); #2686=EDGE_LOOP('',(#1249)); #2687=EDGE_LOOP('',(#1250)); #2688=EDGE_LOOP('',(#1251)); #2689=EDGE_LOOP('',(#1252)); #2690=EDGE_LOOP('',(#1253)); #2691=EDGE_LOOP('',(#1254)); #2692=EDGE_LOOP('',(#1255)); #2693=EDGE_LOOP('',(#1256)); #2694=EDGE_LOOP('',(#1257)); #2695=EDGE_LOOP('',(#1258)); #2696=EDGE_LOOP('',(#1259)); #2697=EDGE_LOOP('',(#1260)); #2698=EDGE_LOOP('',(#1261)); #2699=EDGE_LOOP('',(#1262)); #2700=EDGE_LOOP('',(#1263)); #2701=EDGE_LOOP('',(#1264)); #2702=EDGE_LOOP('',(#1265)); #2703=EDGE_LOOP('',(#1266)); #2704=EDGE_LOOP('',(#1267)); #2705=EDGE_LOOP('',(#1268)); #2706=EDGE_LOOP('',(#1269)); #2707=EDGE_LOOP('',(#1270)); #2708=EDGE_LOOP('',(#1271)); #2709=EDGE_LOOP('',(#1272)); #2710=EDGE_LOOP('',(#1273)); #2711=EDGE_LOOP('',(#1274)); #2712=EDGE_LOOP('',(#1275)); #2713=EDGE_LOOP('',(#1276)); #2714=EDGE_LOOP('',(#1277)); #2715=EDGE_LOOP('',(#1278)); #2716=EDGE_LOOP('',(#1279)); #2717=EDGE_LOOP('',(#1280)); #2718=EDGE_LOOP('',(#1281)); #2719=EDGE_LOOP('',(#1282,#1283,#1284,#1285)); #2720=EDGE_LOOP('',(#1286,#1287,#1288,#1289)); #2721=EDGE_LOOP('',(#1290)); #2722=EDGE_LOOP('',(#1291)); #2723=EDGE_LOOP('',(#1292)); #2724=EDGE_LOOP('',(#1293)); #2725=EDGE_LOOP('',(#1294)); #2726=EDGE_LOOP('',(#1295)); #2727=EDGE_LOOP('',(#1296)); #2728=EDGE_LOOP('',(#1297)); #2729=EDGE_LOOP('',(#1298)); #2730=EDGE_LOOP('',(#1299)); #2731=EDGE_LOOP('',(#1300)); #2732=EDGE_LOOP('',(#1301)); #2733=EDGE_LOOP('',(#1302)); #2734=EDGE_LOOP('',(#1303)); #2735=EDGE_LOOP('',(#1304)); #2736=EDGE_LOOP('',(#1305)); #2737=EDGE_LOOP('',(#1306)); #2738=EDGE_LOOP('',(#1307)); #2739=EDGE_LOOP('',(#1308)); #2740=EDGE_LOOP('',(#1309)); #2741=EDGE_LOOP('',(#1310)); #2742=EDGE_LOOP('',(#1311)); #2743=EDGE_LOOP('',(#1312)); #2744=EDGE_LOOP('',(#1313)); #2745=EDGE_LOOP('',(#1314)); #2746=EDGE_LOOP('',(#1315)); #2747=EDGE_LOOP('',(#1316)); #2748=EDGE_LOOP('',(#1317)); #2749=EDGE_LOOP('',(#1318)); #2750=EDGE_LOOP('',(#1319)); #2751=EDGE_LOOP('',(#1320)); #2752=EDGE_LOOP('',(#1321,#1322,#1323,#1324)); #2753=EDGE_LOOP('',(#1325,#1326,#1327,#1328)); #2754=EDGE_LOOP('',(#1329)); #2755=EDGE_LOOP('',(#1330)); #2756=EDGE_LOOP('',(#1331)); #2757=EDGE_LOOP('',(#1332)); #2758=EDGE_LOOP('',(#1333)); #2759=EDGE_LOOP('',(#1334)); #2760=EDGE_LOOP('',(#1335)); #2761=EDGE_LOOP('',(#1336)); #2762=EDGE_LOOP('',(#1337)); #2763=EDGE_LOOP('',(#1338)); #2764=EDGE_LOOP('',(#1339)); #2765=EDGE_LOOP('',(#1340)); #2766=EDGE_LOOP('',(#1341)); #2767=EDGE_LOOP('',(#1342)); #2768=EDGE_LOOP('',(#1343)); #2769=EDGE_LOOP('',(#1344)); #2770=EDGE_LOOP('',(#1345)); #2771=EDGE_LOOP('',(#1346)); #2772=EDGE_LOOP('',(#1347)); #2773=EDGE_LOOP('',(#1348)); #2774=EDGE_LOOP('',(#1349)); #2775=EDGE_LOOP('',(#1350)); #2776=EDGE_LOOP('',(#1351)); #2777=EDGE_LOOP('',(#1352)); #2778=EDGE_LOOP('',(#1353)); #2779=EDGE_LOOP('',(#1354)); #2780=EDGE_LOOP('',(#1355)); #2781=EDGE_LOOP('',(#1356)); #2782=EDGE_LOOP('',(#1357)); #2783=EDGE_LOOP('',(#1358)); #2784=EDGE_LOOP('',(#1359)); #2785=EDGE_LOOP('',(#1360)); #2786=EDGE_LOOP('',(#1361)); #2787=EDGE_LOOP('',(#1362)); #2788=EDGE_LOOP('',(#1363)); #2789=EDGE_LOOP('',(#1364)); #2790=EDGE_LOOP('',(#1365)); #2791=EDGE_LOOP('',(#1366)); #2792=EDGE_LOOP('',(#1367)); #2793=EDGE_LOOP('',(#1368)); #2794=EDGE_LOOP('',(#1369)); #2795=EDGE_LOOP('',(#1370)); #2796=EDGE_LOOP('',(#1371)); #2797=EDGE_LOOP('',(#1372)); #2798=EDGE_LOOP('',(#1373)); #2799=EDGE_LOOP('',(#1374)); #2800=EDGE_LOOP('',(#1375)); #2801=EDGE_LOOP('',(#1376)); #2802=EDGE_LOOP('',(#1377)); #2803=EDGE_LOOP('',(#1378)); #2804=EDGE_LOOP('',(#1379)); #2805=EDGE_LOOP('',(#1380)); #2806=EDGE_LOOP('',(#1381)); #2807=EDGE_LOOP('',(#1382)); #2808=EDGE_LOOP('',(#1383)); #2809=EDGE_LOOP('',(#1384)); #2810=EDGE_LOOP('',(#1385)); #2811=EDGE_LOOP('',(#1386)); #2812=EDGE_LOOP('',(#1387)); #2813=EDGE_LOOP('',(#1388)); #2814=EDGE_LOOP('',(#1389,#1390,#1391,#1392)); #2815=EDGE_LOOP('',(#1393)); #2816=EDGE_LOOP('',(#1394)); #2817=EDGE_LOOP('',(#1395)); #2818=EDGE_LOOP('',(#1396)); #2819=EDGE_LOOP('',(#1397)); #2820=EDGE_LOOP('',(#1398)); #2821=EDGE_LOOP('',(#1399)); #2822=EDGE_LOOP('',(#1400)); #2823=EDGE_LOOP('',(#1401)); #2824=EDGE_LOOP('',(#1402)); #2825=EDGE_LOOP('',(#1403)); #2826=EDGE_LOOP('',(#1404)); #2827=EDGE_LOOP('',(#1405)); #2828=EDGE_LOOP('',(#1406)); #2829=EDGE_LOOP('',(#1407)); #2830=EDGE_LOOP('',(#1408)); #2831=EDGE_LOOP('',(#1409)); #2832=EDGE_LOOP('',(#1410)); #2833=EDGE_LOOP('',(#1411)); #2834=EDGE_LOOP('',(#1412)); #2835=EDGE_LOOP('',(#1413)); #2836=EDGE_LOOP('',(#1414)); #2837=EDGE_LOOP('',(#1415)); #2838=EDGE_LOOP('',(#1416)); #2839=EDGE_LOOP('',(#1417)); #2840=EDGE_LOOP('',(#1418)); #2841=EDGE_LOOP('',(#1419)); #2842=EDGE_LOOP('',(#1420)); #2843=EDGE_LOOP('',(#1421)); #2844=EDGE_LOOP('',(#1422)); #2845=EDGE_LOOP('',(#1423)); #2846=EDGE_LOOP('',(#1424)); #2847=EDGE_LOOP('',(#1425)); #2848=EDGE_LOOP('',(#1426)); #2849=EDGE_LOOP('',(#1427)); #2850=EDGE_LOOP('',(#1428)); #2851=EDGE_LOOP('',(#1429)); #2852=EDGE_LOOP('',(#1430)); #2853=EDGE_LOOP('',(#1431)); #2854=EDGE_LOOP('',(#1432)); #2855=EDGE_LOOP('',(#1433)); #2856=EDGE_LOOP('',(#1434)); #2857=EDGE_LOOP('',(#1435)); #2858=EDGE_LOOP('',(#1436)); #2859=EDGE_LOOP('',(#1437)); #2860=EDGE_LOOP('',(#1438)); #2861=EDGE_LOOP('',(#1439)); #2862=EDGE_LOOP('',(#1440)); #2863=EDGE_LOOP('',(#1441)); #2864=EDGE_LOOP('',(#1442)); #2865=EDGE_LOOP('',(#1443)); #2866=EDGE_LOOP('',(#1444)); #2867=EDGE_LOOP('',(#1445)); #2868=EDGE_LOOP('',(#1446)); #2869=EDGE_LOOP('',(#1447)); #2870=EDGE_LOOP('',(#1448)); #2871=EDGE_LOOP('',(#1449)); #2872=EDGE_LOOP('',(#1450)); #2873=EDGE_LOOP('',(#1451)); #2874=EDGE_LOOP('',(#1452)); #2875=EDGE_LOOP('',(#1453)); #2876=EDGE_LOOP('',(#1454)); #2877=EDGE_LOOP('',(#1455)); #2878=EDGE_LOOP('',(#1456)); #2879=EDGE_LOOP('',(#1457)); #2880=EDGE_LOOP('',(#1458)); #2881=EDGE_LOOP('',(#1459)); #2882=EDGE_LOOP('',(#1460)); #2883=EDGE_LOOP('',(#1461)); #2884=EDGE_LOOP('',(#1462)); #2885=EDGE_LOOP('',(#1463)); #2886=EDGE_LOOP('',(#1464)); #2887=EDGE_LOOP('',(#1465)); #2888=EDGE_LOOP('',(#1466)); #2889=EDGE_LOOP('',(#1467)); #2890=EDGE_LOOP('',(#1468)); #2891=EDGE_LOOP('',(#1469)); #2892=EDGE_LOOP('',(#1470)); #2893=EDGE_LOOP('',(#1471)); #2894=EDGE_LOOP('',(#1472)); #2895=EDGE_LOOP('',(#1473)); #2896=EDGE_LOOP('',(#1474)); #2897=EDGE_LOOP('',(#1475)); #2898=EDGE_LOOP('',(#1476)); #2899=EDGE_LOOP('',(#1477)); #2900=EDGE_LOOP('',(#1478)); #2901=EDGE_LOOP('',(#1479)); #2902=EDGE_LOOP('',(#1480)); #2903=EDGE_LOOP('',(#1481)); #2904=EDGE_LOOP('',(#1482)); #2905=EDGE_LOOP('',(#1483)); #2906=EDGE_LOOP('',(#1484)); #2907=EDGE_LOOP('',(#1485)); #2908=EDGE_LOOP('',(#1486)); #2909=EDGE_LOOP('',(#1487)); #2910=EDGE_LOOP('',(#1488)); #2911=EDGE_LOOP('',(#1489)); #2912=EDGE_LOOP('',(#1490)); #2913=EDGE_LOOP('',(#1491)); #2914=EDGE_LOOP('',(#1492)); #2915=EDGE_LOOP('',(#1493)); #2916=EDGE_LOOP('',(#1494)); #2917=EDGE_LOOP('',(#1495)); #2918=EDGE_LOOP('',(#1496)); #2919=EDGE_LOOP('',(#1497)); #2920=EDGE_LOOP('',(#1498)); #2921=EDGE_LOOP('',(#1499)); #2922=EDGE_LOOP('',(#1500)); #2923=EDGE_LOOP('',(#1501)); #2924=EDGE_LOOP('',(#1502)); #2925=EDGE_LOOP('',(#1503)); #2926=EDGE_LOOP('',(#1504)); #2927=EDGE_LOOP('',(#1505)); #2928=EDGE_LOOP('',(#1506)); #2929=EDGE_LOOP('',(#1507)); #2930=EDGE_LOOP('',(#1508)); #2931=EDGE_LOOP('',(#1509)); #2932=EDGE_LOOP('',(#1510)); #2933=EDGE_LOOP('',(#1511)); #2934=EDGE_LOOP('',(#1512)); #2935=EDGE_LOOP('',(#1513)); #2936=EDGE_LOOP('',(#1514)); #2937=EDGE_LOOP('',(#1515)); #2938=EDGE_LOOP('',(#1516)); #2939=EDGE_LOOP('',(#1517)); #2940=EDGE_LOOP('',(#1518)); #2941=EDGE_LOOP('',(#1519)); #2942=EDGE_LOOP('',(#1520)); #2943=EDGE_LOOP('',(#1521)); #2944=EDGE_LOOP('',(#1522)); #2945=EDGE_LOOP('',(#1523)); #2946=EDGE_LOOP('',(#1524)); #2947=EDGE_LOOP('',(#1525)); #2948=EDGE_LOOP('',(#1526)); #2949=EDGE_LOOP('',(#1527)); #2950=EDGE_LOOP('',(#1528)); #2951=EDGE_LOOP('',(#1529)); #2952=EDGE_LOOP('',(#1530)); #2953=EDGE_LOOP('',(#1531)); #2954=EDGE_LOOP('',(#1532)); #2955=EDGE_LOOP('',(#1533)); #2956=EDGE_LOOP('',(#1534)); #2957=EDGE_LOOP('',(#1535)); #2958=EDGE_LOOP('',(#1536)); #2959=EDGE_LOOP('',(#1537)); #2960=EDGE_LOOP('',(#1538)); #2961=EDGE_LOOP('',(#1539)); #2962=EDGE_LOOP('',(#1540)); #2963=EDGE_LOOP('',(#1541)); #2964=EDGE_LOOP('',(#1542)); #2965=EDGE_LOOP('',(#1543)); #2966=EDGE_LOOP('',(#1544)); #2967=EDGE_LOOP('',(#1545)); #2968=EDGE_LOOP('',(#1546)); #2969=EDGE_LOOP('',(#1547)); #2970=EDGE_LOOP('',(#1548)); #2971=EDGE_LOOP('',(#1549)); #2972=EDGE_LOOP('',(#1550)); #2973=EDGE_LOOP('',(#1551)); #2974=EDGE_LOOP('',(#1552)); #2975=EDGE_LOOP('',(#1553)); #2976=EDGE_LOOP('',(#1554)); #2977=EDGE_LOOP('',(#1555)); #2978=EDGE_LOOP('',(#1556)); #2979=EDGE_LOOP('',(#1557)); #2980=EDGE_LOOP('',(#1558)); #2981=EDGE_LOOP('',(#1559)); #2982=EDGE_LOOP('',(#1560)); #2983=EDGE_LOOP('',(#1561)); #2984=EDGE_LOOP('',(#1562)); #2985=EDGE_LOOP('',(#1563)); #2986=EDGE_LOOP('',(#1564)); #2987=EDGE_LOOP('',(#1565)); #2988=EDGE_LOOP('',(#1566)); #2989=EDGE_LOOP('',(#1567)); #2990=EDGE_LOOP('',(#1568)); #2991=EDGE_LOOP('',(#1569)); #2992=EDGE_LOOP('',(#1570)); #2993=EDGE_LOOP('',(#1571)); #2994=EDGE_LOOP('',(#1572)); #2995=EDGE_LOOP('',(#1573)); #2996=EDGE_LOOP('',(#1574)); #2997=EDGE_LOOP('',(#1575)); #2998=EDGE_LOOP('',(#1576)); #2999=EDGE_LOOP('',(#1577)); #3000=EDGE_LOOP('',(#1578)); #3001=EDGE_LOOP('',(#1579)); #3002=EDGE_LOOP('',(#1580)); #3003=EDGE_LOOP('',(#1581)); #3004=EDGE_LOOP('',(#1582)); #3005=EDGE_LOOP('',(#1583)); #3006=EDGE_LOOP('',(#1584)); #3007=EDGE_LOOP('',(#1585)); #3008=EDGE_LOOP('',(#1586)); #3009=EDGE_LOOP('',(#1587)); #3010=EDGE_LOOP('',(#1588)); #3011=EDGE_LOOP('',(#1589)); #3012=EDGE_LOOP('',(#1590)); #3013=EDGE_LOOP('',(#1591)); #3014=EDGE_LOOP('',(#1592)); #3015=EDGE_LOOP('',(#1593)); #3016=EDGE_LOOP('',(#1594)); #3017=EDGE_LOOP('',(#1595)); #3018=EDGE_LOOP('',(#1596)); #3019=EDGE_LOOP('',(#1597)); #3020=EDGE_LOOP('',(#1598)); #3021=EDGE_LOOP('',(#1599)); #3022=EDGE_LOOP('',(#1600)); #3023=EDGE_LOOP('',(#1601)); #3024=EDGE_LOOP('',(#1602)); #3025=EDGE_LOOP('',(#1603)); #3026=EDGE_LOOP('',(#1604)); #3027=EDGE_LOOP('',(#1605)); #3028=EDGE_LOOP('',(#1606)); #3029=EDGE_LOOP('',(#1607)); #3030=EDGE_LOOP('',(#1608)); #3031=EDGE_LOOP('',(#1609)); #3032=EDGE_LOOP('',(#1610)); #3033=EDGE_LOOP('',(#1611)); #3034=EDGE_LOOP('',(#1612)); #3035=EDGE_LOOP('',(#1613)); #3036=EDGE_LOOP('',(#1614)); #3037=EDGE_LOOP('',(#1615)); #3038=EDGE_LOOP('',(#1616)); #3039=EDGE_LOOP('',(#1617)); #3040=EDGE_LOOP('',(#1618)); #3041=EDGE_LOOP('',(#1619)); #3042=EDGE_LOOP('',(#1620)); #3043=EDGE_LOOP('',(#1621)); #3044=EDGE_LOOP('',(#1622)); #3045=EDGE_LOOP('',(#1623)); #3046=EDGE_LOOP('',(#1624)); #3047=EDGE_LOOP('',(#1625)); #3048=EDGE_LOOP('',(#1626)); #3049=EDGE_LOOP('',(#1627)); #3050=EDGE_LOOP('',(#1628)); #3051=EDGE_LOOP('',(#1629)); #3052=EDGE_LOOP('',(#1630)); #3053=EDGE_LOOP('',(#1631)); #3054=EDGE_LOOP('',(#1632)); #3055=EDGE_LOOP('',(#1633)); #3056=EDGE_LOOP('',(#1634)); #3057=EDGE_LOOP('',(#1635)); #3058=EDGE_LOOP('',(#1636)); #3059=EDGE_LOOP('',(#1637)); #3060=EDGE_LOOP('',(#1638)); #3061=EDGE_LOOP('',(#1639)); #3062=EDGE_LOOP('',(#1640)); #3063=EDGE_LOOP('',(#1641)); #3064=EDGE_LOOP('',(#1642)); #3065=EDGE_LOOP('',(#1643)); #3066=EDGE_LOOP('',(#1644)); #3067=EDGE_LOOP('',(#1645)); #3068=EDGE_LOOP('',(#1646)); #3069=EDGE_LOOP('',(#1647)); #3070=EDGE_LOOP('',(#1648)); #3071=EDGE_LOOP('',(#1649)); #3072=EDGE_LOOP('',(#1650)); #3073=EDGE_LOOP('',(#1651)); #3074=EDGE_LOOP('',(#1652)); #3075=EDGE_LOOP('',(#1653)); #3076=EDGE_LOOP('',(#1654)); #3077=EDGE_LOOP('',(#1655)); #3078=EDGE_LOOP('',(#1656)); #3079=EDGE_LOOP('',(#1657)); #3080=EDGE_LOOP('',(#1658)); #3081=EDGE_LOOP('',(#1659)); #3082=EDGE_LOOP('',(#1660)); #3083=EDGE_LOOP('',(#1661)); #3084=EDGE_LOOP('',(#1662)); #3085=EDGE_LOOP('',(#1663)); #3086=EDGE_LOOP('',(#1664)); #3087=EDGE_LOOP('',(#1665)); #3088=EDGE_LOOP('',(#1666)); #3089=EDGE_LOOP('',(#1667)); #3090=EDGE_LOOP('',(#1668)); #3091=EDGE_LOOP('',(#1669)); #3092=EDGE_LOOP('',(#1670)); #3093=EDGE_LOOP('',(#1671)); #3094=EDGE_LOOP('',(#1672)); #3095=EDGE_LOOP('',(#1673)); #3096=EDGE_LOOP('',(#1674)); #3097=EDGE_LOOP('',(#1675)); #3098=EDGE_LOOP('',(#1676)); #3099=EDGE_LOOP('',(#1677)); #3100=EDGE_LOOP('',(#1678)); #3101=EDGE_LOOP('',(#1679)); #3102=EDGE_LOOP('',(#1680)); #3103=EDGE_LOOP('',(#1681)); #3104=EDGE_LOOP('',(#1682)); #3105=EDGE_LOOP('',(#1683)); #3106=EDGE_LOOP('',(#1684)); #3107=EDGE_LOOP('',(#1685)); #3108=EDGE_LOOP('',(#1686)); #3109=EDGE_LOOP('',(#1687)); #3110=EDGE_LOOP('',(#1688)); #3111=EDGE_LOOP('',(#1689)); #3112=EDGE_LOOP('',(#1690)); #3113=EDGE_LOOP('',(#1691)); #3114=EDGE_LOOP('',(#1692)); #3115=EDGE_LOOP('',(#1693)); #3116=EDGE_LOOP('',(#1694)); #3117=EDGE_LOOP('',(#1695)); #3118=EDGE_LOOP('',(#1696)); #3119=EDGE_LOOP('',(#1697)); #3120=EDGE_LOOP('',(#1698)); #3121=EDGE_LOOP('',(#1699)); #3122=EDGE_LOOP('',(#1700)); #3123=EDGE_LOOP('',(#1701)); #3124=EDGE_LOOP('',(#1702)); #3125=EDGE_LOOP('',(#1703)); #3126=EDGE_LOOP('',(#1704)); #3127=EDGE_LOOP('',(#1705)); #3128=EDGE_LOOP('',(#1706)); #3129=EDGE_LOOP('',(#1707)); #3130=EDGE_LOOP('',(#1708)); #3131=EDGE_LOOP('',(#1709)); #3132=EDGE_LOOP('',(#1710)); #3133=EDGE_LOOP('',(#1711)); #3134=EDGE_LOOP('',(#1712)); #3135=EDGE_LOOP('',(#1713)); #3136=EDGE_LOOP('',(#1714)); #3137=EDGE_LOOP('',(#1715)); #3138=EDGE_LOOP('',(#1716)); #3139=EDGE_LOOP('',(#1717)); #3140=EDGE_LOOP('',(#1718)); #3141=EDGE_LOOP('',(#1719)); #3142=EDGE_LOOP('',(#1720)); #3143=EDGE_LOOP('',(#1721)); #3144=EDGE_LOOP('',(#1722)); #3145=EDGE_LOOP('',(#1723)); #3146=EDGE_LOOP('',(#1724)); #3147=EDGE_LOOP('',(#1725)); #3148=EDGE_LOOP('',(#1726)); #3149=EDGE_LOOP('',(#1727)); #3150=EDGE_LOOP('',(#1728)); #3151=EDGE_LOOP('',(#1729)); #3152=EDGE_LOOP('',(#1730)); #3153=EDGE_LOOP('',(#1731)); #3154=EDGE_LOOP('',(#1732)); #3155=EDGE_LOOP('',(#1733)); #3156=EDGE_LOOP('',(#1734)); #3157=EDGE_LOOP('',(#1735)); #3158=EDGE_LOOP('',(#1736)); #3159=FACE_BOUND('',#2499,.T.); #3160=FACE_BOUND('',#2500,.T.); #3161=FACE_BOUND('',#2501,.T.); #3162=FACE_BOUND('',#2502,.T.); #3163=FACE_BOUND('',#2503,.T.); #3164=FACE_BOUND('',#2504,.T.); #3165=FACE_BOUND('',#2505,.T.); #3166=FACE_BOUND('',#2506,.T.); #3167=FACE_BOUND('',#2507,.T.); #3168=FACE_BOUND('',#2508,.T.); #3169=FACE_BOUND('',#2509,.T.); #3170=FACE_BOUND('',#2510,.T.); #3171=FACE_BOUND('',#2511,.T.); #3172=FACE_BOUND('',#2512,.T.); #3173=FACE_BOUND('',#2513,.T.); #3174=FACE_BOUND('',#2514,.T.); #3175=FACE_BOUND('',#2515,.T.); #3176=FACE_BOUND('',#2516,.T.); #3177=FACE_BOUND('',#2517,.T.); #3178=FACE_BOUND('',#2518,.T.); #3179=FACE_BOUND('',#2519,.T.); #3180=FACE_BOUND('',#2520,.T.); #3181=FACE_BOUND('',#2521,.T.); #3182=FACE_BOUND('',#2522,.T.); #3183=FACE_BOUND('',#2523,.T.); #3184=FACE_BOUND('',#2524,.T.); #3185=FACE_BOUND('',#2525,.T.); #3186=FACE_BOUND('',#2526,.T.); #3187=FACE_BOUND('',#2527,.T.); #3188=FACE_BOUND('',#2528,.T.); #3189=FACE_BOUND('',#2529,.T.); #3190=FACE_BOUND('',#2530,.T.); #3191=FACE_BOUND('',#2531,.T.); #3192=FACE_BOUND('',#2532,.T.); #3193=FACE_BOUND('',#2533,.T.); #3194=FACE_BOUND('',#2534,.T.); #3195=FACE_BOUND('',#2535,.T.); #3196=FACE_BOUND('',#2536,.T.); #3197=FACE_BOUND('',#2537,.T.); #3198=FACE_BOUND('',#2538,.T.); #3199=FACE_BOUND('',#2539,.T.); #3200=FACE_BOUND('',#2540,.T.); #3201=FACE_BOUND('',#2541,.T.); #3202=FACE_BOUND('',#2542,.T.); #3203=FACE_BOUND('',#2543,.T.); #3204=FACE_BOUND('',#2544,.T.); #3205=FACE_BOUND('',#2545,.T.); #3206=FACE_BOUND('',#2546,.T.); #3207=FACE_BOUND('',#2547,.T.); #3208=FACE_BOUND('',#2548,.T.); #3209=FACE_BOUND('',#2549,.T.); #3210=FACE_BOUND('',#2550,.T.); #3211=FACE_BOUND('',#2551,.T.); #3212=FACE_BOUND('',#2552,.T.); #3213=FACE_BOUND('',#2553,.T.); #3214=FACE_BOUND('',#2554,.T.); #3215=FACE_BOUND('',#2555,.T.); #3216=FACE_BOUND('',#2556,.T.); #3217=FACE_BOUND('',#2557,.T.); #3218=FACE_BOUND('',#2558,.T.); #3219=FACE_BOUND('',#2559,.T.); #3220=FACE_BOUND('',#2560,.T.); #3221=FACE_BOUND('',#2561,.T.); #3222=FACE_BOUND('',#2562,.T.); #3223=FACE_BOUND('',#2563,.T.); #3224=FACE_BOUND('',#2564,.T.); #3225=FACE_BOUND('',#2565,.T.); #3226=FACE_BOUND('',#2566,.T.); #3227=FACE_BOUND('',#2567,.T.); #3228=FACE_BOUND('',#2568,.T.); #3229=FACE_BOUND('',#2569,.T.); #3230=FACE_BOUND('',#2570,.T.); #3231=FACE_BOUND('',#2571,.T.); #3232=FACE_BOUND('',#2572,.T.); #3233=FACE_BOUND('',#2573,.T.); #3234=FACE_BOUND('',#2574,.T.); #3235=FACE_BOUND('',#2575,.T.); #3236=FACE_BOUND('',#2576,.T.); #3237=FACE_BOUND('',#2577,.T.); #3238=FACE_BOUND('',#2578,.T.); #3239=FACE_BOUND('',#2579,.T.); #3240=FACE_BOUND('',#2580,.T.); #3241=FACE_BOUND('',#2581,.T.); #3242=FACE_BOUND('',#2582,.T.); #3243=FACE_BOUND('',#2583,.T.); #3244=FACE_BOUND('',#2584,.T.); #3245=FACE_BOUND('',#2585,.T.); #3246=FACE_BOUND('',#2586,.T.); #3247=FACE_BOUND('',#2587,.T.); #3248=FACE_BOUND('',#2588,.T.); #3249=FACE_BOUND('',#2589,.T.); #3250=FACE_BOUND('',#2590,.T.); #3251=FACE_BOUND('',#2591,.T.); #3252=FACE_BOUND('',#2592,.T.); #3253=FACE_BOUND('',#2593,.T.); #3254=FACE_BOUND('',#2594,.T.); #3255=FACE_BOUND('',#2595,.T.); #3256=FACE_BOUND('',#2596,.T.); #3257=FACE_BOUND('',#2597,.T.); #3258=FACE_BOUND('',#2598,.T.); #3259=FACE_BOUND('',#2599,.T.); #3260=FACE_BOUND('',#2600,.T.); #3261=FACE_BOUND('',#2601,.T.); #3262=FACE_BOUND('',#2602,.T.); #3263=FACE_BOUND('',#2603,.T.); #3264=FACE_BOUND('',#2604,.T.); #3265=FACE_BOUND('',#2605,.T.); #3266=FACE_BOUND('',#2606,.T.); #3267=FACE_BOUND('',#2607,.T.); #3268=FACE_BOUND('',#2608,.T.); #3269=FACE_BOUND('',#2609,.T.); #3270=FACE_BOUND('',#2610,.T.); #3271=FACE_BOUND('',#2611,.T.); #3272=FACE_BOUND('',#2612,.T.); #3273=FACE_BOUND('',#2613,.T.); #3274=FACE_BOUND('',#2614,.T.); #3275=FACE_BOUND('',#2615,.T.); #3276=FACE_BOUND('',#2616,.T.); #3277=FACE_BOUND('',#2617,.T.); #3278=FACE_BOUND('',#2618,.T.); #3279=FACE_BOUND('',#2619,.T.); #3280=FACE_BOUND('',#2620,.T.); #3281=FACE_BOUND('',#2621,.T.); #3282=FACE_BOUND('',#2622,.T.); #3283=FACE_BOUND('',#2623,.T.); #3284=FACE_BOUND('',#2624,.T.); #3285=FACE_BOUND('',#2625,.T.); #3286=FACE_BOUND('',#2626,.T.); #3287=FACE_BOUND('',#2627,.T.); #3288=FACE_BOUND('',#2628,.T.); #3289=FACE_BOUND('',#2629,.T.); #3290=FACE_BOUND('',#2630,.T.); #3291=FACE_BOUND('',#2631,.T.); #3292=FACE_BOUND('',#2632,.T.); #3293=FACE_BOUND('',#2633,.T.); #3294=FACE_BOUND('',#2634,.T.); #3295=FACE_BOUND('',#2635,.T.); #3296=FACE_BOUND('',#2636,.T.); #3297=FACE_BOUND('',#2637,.T.); #3298=FACE_BOUND('',#2638,.T.); #3299=FACE_BOUND('',#2639,.T.); #3300=FACE_BOUND('',#2640,.T.); #3301=FACE_BOUND('',#2641,.T.); #3302=FACE_BOUND('',#2642,.T.); #3303=FACE_BOUND('',#2643,.T.); #3304=FACE_BOUND('',#2644,.T.); #3305=FACE_BOUND('',#2645,.T.); #3306=FACE_BOUND('',#2646,.T.); #3307=FACE_BOUND('',#2647,.T.); #3308=FACE_BOUND('',#2648,.T.); #3309=FACE_BOUND('',#2649,.T.); #3310=FACE_BOUND('',#2650,.T.); #3311=FACE_BOUND('',#2651,.T.); #3312=FACE_BOUND('',#2652,.T.); #3313=FACE_BOUND('',#2653,.T.); #3314=FACE_BOUND('',#2654,.T.); #3315=FACE_BOUND('',#2655,.T.); #3316=FACE_BOUND('',#2656,.T.); #3317=FACE_BOUND('',#2657,.T.); #3318=FACE_BOUND('',#2658,.T.); #3319=FACE_BOUND('',#2659,.T.); #3320=FACE_BOUND('',#2660,.T.); #3321=FACE_BOUND('',#2661,.T.); #3322=FACE_BOUND('',#2662,.T.); #3323=FACE_BOUND('',#2663,.T.); #3324=FACE_BOUND('',#2664,.T.); #3325=FACE_BOUND('',#2665,.T.); #3326=FACE_BOUND('',#2666,.T.); #3327=FACE_BOUND('',#2667,.T.); #3328=FACE_BOUND('',#2668,.T.); #3329=FACE_BOUND('',#2669,.T.); #3330=FACE_BOUND('',#2670,.T.); #3331=FACE_BOUND('',#2671,.T.); #3332=FACE_BOUND('',#2672,.T.); #3333=FACE_BOUND('',#2673,.T.); #3334=FACE_BOUND('',#2674,.T.); #3335=FACE_BOUND('',#2675,.T.); #3336=FACE_BOUND('',#2676,.T.); #3337=FACE_BOUND('',#2677,.T.); #3338=FACE_BOUND('',#2678,.T.); #3339=FACE_BOUND('',#2679,.T.); #3340=FACE_BOUND('',#2680,.T.); #3341=FACE_BOUND('',#2681,.T.); #3342=FACE_BOUND('',#2682,.T.); #3343=FACE_BOUND('',#2683,.T.); #3344=FACE_BOUND('',#2684,.T.); #3345=FACE_BOUND('',#2685,.T.); #3346=FACE_BOUND('',#2686,.T.); #3347=FACE_BOUND('',#2687,.T.); #3348=FACE_BOUND('',#2688,.T.); #3349=FACE_BOUND('',#2689,.T.); #3350=FACE_BOUND('',#2690,.T.); #3351=FACE_BOUND('',#2691,.T.); #3352=FACE_BOUND('',#2692,.T.); #3353=FACE_BOUND('',#2693,.T.); #3354=FACE_BOUND('',#2694,.T.); #3355=FACE_BOUND('',#2695,.T.); #3356=FACE_BOUND('',#2696,.T.); #3357=FACE_BOUND('',#2697,.T.); #3358=FACE_BOUND('',#2698,.T.); #3359=FACE_BOUND('',#2699,.T.); #3360=FACE_BOUND('',#2700,.T.); #3361=FACE_BOUND('',#2701,.T.); #3362=FACE_BOUND('',#2702,.T.); #3363=FACE_BOUND('',#2703,.T.); #3364=FACE_BOUND('',#2704,.T.); #3365=FACE_BOUND('',#2705,.T.); #3366=FACE_BOUND('',#2706,.T.); #3367=FACE_BOUND('',#2707,.T.); #3368=FACE_BOUND('',#2708,.T.); #3369=FACE_BOUND('',#2709,.T.); #3370=FACE_BOUND('',#2710,.T.); #3371=FACE_BOUND('',#2711,.T.); #3372=FACE_BOUND('',#2712,.T.); #3373=FACE_BOUND('',#2713,.T.); #3374=FACE_BOUND('',#2714,.T.); #3375=FACE_BOUND('',#2715,.T.); #3376=FACE_BOUND('',#2716,.T.); #3377=FACE_BOUND('',#2717,.T.); #3378=FACE_BOUND('',#2718,.T.); #3379=FACE_BOUND('',#2719,.T.); #3380=FACE_BOUND('',#2720,.T.); #3381=FACE_BOUND('',#2721,.T.); #3382=FACE_BOUND('',#2722,.T.); #3383=FACE_BOUND('',#2723,.T.); #3384=FACE_BOUND('',#2724,.T.); #3385=FACE_BOUND('',#2725,.T.); #3386=FACE_BOUND('',#2726,.T.); #3387=FACE_BOUND('',#2727,.T.); #3388=FACE_BOUND('',#2728,.T.); #3389=FACE_BOUND('',#2729,.T.); #3390=FACE_BOUND('',#2730,.T.); #3391=FACE_BOUND('',#2731,.T.); #3392=FACE_BOUND('',#2732,.T.); #3393=FACE_BOUND('',#2733,.T.); #3394=FACE_BOUND('',#2734,.T.); #3395=FACE_BOUND('',#2735,.T.); #3396=FACE_BOUND('',#2736,.T.); #3397=FACE_BOUND('',#2737,.T.); #3398=FACE_BOUND('',#2738,.T.); #3399=FACE_BOUND('',#2739,.T.); #3400=FACE_BOUND('',#2740,.T.); #3401=FACE_BOUND('',#2741,.T.); #3402=FACE_BOUND('',#2742,.T.); #3403=FACE_BOUND('',#2743,.T.); #3404=FACE_BOUND('',#2744,.T.); #3405=FACE_BOUND('',#2745,.T.); #3406=FACE_BOUND('',#2746,.T.); #3407=FACE_BOUND('',#2747,.T.); #3408=FACE_BOUND('',#2748,.T.); #3409=FACE_BOUND('',#2749,.T.); #3410=FACE_BOUND('',#2750,.T.); #3411=FACE_BOUND('',#2751,.T.); #3412=FACE_BOUND('',#2752,.T.); #3413=FACE_BOUND('',#2753,.T.); #3414=FACE_BOUND('',#2754,.T.); #3415=FACE_BOUND('',#2755,.T.); #3416=FACE_BOUND('',#2756,.T.); #3417=FACE_BOUND('',#2757,.T.); #3418=FACE_BOUND('',#2758,.T.); #3419=FACE_BOUND('',#2759,.T.); #3420=FACE_BOUND('',#2760,.T.); #3421=FACE_BOUND('',#2761,.T.); #3422=FACE_BOUND('',#2762,.T.); #3423=FACE_BOUND('',#2763,.T.); #3424=FACE_BOUND('',#2764,.T.); #3425=FACE_BOUND('',#2765,.T.); #3426=FACE_BOUND('',#2766,.T.); #3427=FACE_BOUND('',#2767,.T.); #3428=FACE_BOUND('',#2768,.T.); #3429=FACE_BOUND('',#2769,.T.); #3430=FACE_BOUND('',#2770,.T.); #3431=FACE_BOUND('',#2771,.T.); #3432=FACE_BOUND('',#2772,.T.); #3433=FACE_BOUND('',#2773,.T.); #3434=FACE_BOUND('',#2774,.T.); #3435=FACE_BOUND('',#2775,.T.); #3436=FACE_BOUND('',#2776,.T.); #3437=FACE_BOUND('',#2777,.T.); #3438=FACE_BOUND('',#2778,.T.); #3439=FACE_BOUND('',#2779,.T.); #3440=FACE_BOUND('',#2780,.T.); #3441=FACE_BOUND('',#2781,.T.); #3442=FACE_BOUND('',#2782,.T.); #3443=FACE_BOUND('',#2783,.T.); #3444=FACE_BOUND('',#2784,.T.); #3445=FACE_BOUND('',#2785,.T.); #3446=FACE_BOUND('',#2786,.T.); #3447=FACE_BOUND('',#2787,.T.); #3448=FACE_BOUND('',#2788,.T.); #3449=FACE_BOUND('',#2789,.T.); #3450=FACE_BOUND('',#2790,.T.); #3451=FACE_BOUND('',#2791,.T.); #3452=FACE_BOUND('',#2792,.T.); #3453=FACE_BOUND('',#2793,.T.); #3454=FACE_BOUND('',#2794,.T.); #3455=FACE_BOUND('',#2795,.T.); #3456=FACE_BOUND('',#2796,.T.); #3457=FACE_BOUND('',#2797,.T.); #3458=FACE_BOUND('',#2798,.T.); #3459=FACE_BOUND('',#2799,.T.); #3460=FACE_BOUND('',#2800,.T.); #3461=FACE_BOUND('',#2801,.T.); #3462=FACE_BOUND('',#2802,.T.); #3463=FACE_BOUND('',#2803,.T.); #3464=FACE_BOUND('',#2804,.T.); #3465=FACE_BOUND('',#2805,.T.); #3466=FACE_BOUND('',#2806,.T.); #3467=FACE_BOUND('',#2807,.T.); #3468=FACE_BOUND('',#2808,.T.); #3469=FACE_BOUND('',#2809,.T.); #3470=FACE_BOUND('',#2810,.T.); #3471=FACE_BOUND('',#2811,.T.); #3472=FACE_BOUND('',#2812,.T.); #3473=FACE_BOUND('',#2813,.T.); #3474=FACE_BOUND('',#2814,.T.); #3475=FACE_BOUND('',#2815,.T.); #3476=FACE_BOUND('',#2816,.T.); #3477=FACE_BOUND('',#2817,.T.); #3478=FACE_BOUND('',#2818,.T.); #3479=FACE_BOUND('',#2819,.T.); #3480=FACE_BOUND('',#2820,.T.); #3481=FACE_BOUND('',#2821,.T.); #3482=FACE_BOUND('',#2822,.T.); #3483=FACE_BOUND('',#2823,.T.); #3484=FACE_BOUND('',#2824,.T.); #3485=FACE_BOUND('',#2825,.T.); #3486=FACE_BOUND('',#2826,.T.); #3487=FACE_BOUND('',#2827,.T.); #3488=FACE_BOUND('',#2828,.T.); #3489=FACE_BOUND('',#2829,.T.); #3490=FACE_BOUND('',#2830,.T.); #3491=FACE_BOUND('',#2831,.T.); #3492=FACE_BOUND('',#2832,.T.); #3493=FACE_BOUND('',#2833,.T.); #3494=FACE_BOUND('',#2834,.T.); #3495=FACE_BOUND('',#2835,.T.); #3496=FACE_BOUND('',#2836,.T.); #3497=FACE_BOUND('',#2837,.T.); #3498=FACE_BOUND('',#2838,.T.); #3499=FACE_BOUND('',#2839,.T.); #3500=FACE_BOUND('',#2840,.T.); #3501=FACE_BOUND('',#2841,.T.); #3502=FACE_BOUND('',#2842,.T.); #3503=FACE_BOUND('',#2843,.T.); #3504=FACE_BOUND('',#2844,.T.); #3505=FACE_BOUND('',#2845,.T.); #3506=FACE_BOUND('',#2846,.T.); #3507=FACE_BOUND('',#2847,.T.); #3508=FACE_BOUND('',#2848,.T.); #3509=FACE_BOUND('',#2849,.T.); #3510=FACE_BOUND('',#2850,.T.); #3511=FACE_BOUND('',#2851,.T.); #3512=FACE_BOUND('',#2852,.T.); #3513=FACE_BOUND('',#2853,.T.); #3514=FACE_BOUND('',#2854,.T.); #3515=FACE_BOUND('',#2855,.T.); #3516=FACE_BOUND('',#2856,.T.); #3517=FACE_BOUND('',#2857,.T.); #3518=FACE_BOUND('',#2858,.T.); #3519=FACE_BOUND('',#2859,.T.); #3520=FACE_BOUND('',#2860,.T.); #3521=FACE_BOUND('',#2861,.T.); #3522=FACE_BOUND('',#2862,.T.); #3523=FACE_BOUND('',#2863,.T.); #3524=FACE_BOUND('',#2864,.T.); #3525=FACE_BOUND('',#2865,.T.); #3526=FACE_BOUND('',#2866,.T.); #3527=FACE_BOUND('',#2867,.T.); #3528=FACE_BOUND('',#2868,.T.); #3529=FACE_BOUND('',#2869,.T.); #3530=FACE_BOUND('',#2870,.T.); #3531=FACE_BOUND('',#2871,.T.); #3532=FACE_BOUND('',#2872,.T.); #3533=FACE_BOUND('',#2873,.T.); #3534=FACE_BOUND('',#2874,.T.); #3535=FACE_BOUND('',#2875,.T.); #3536=FACE_BOUND('',#2876,.T.); #3537=FACE_BOUND('',#2877,.T.); #3538=FACE_BOUND('',#2878,.T.); #3539=FACE_BOUND('',#2879,.T.); #3540=FACE_BOUND('',#2880,.T.); #3541=FACE_BOUND('',#2881,.T.); #3542=FACE_BOUND('',#2882,.T.); #3543=FACE_BOUND('',#2883,.T.); #3544=FACE_BOUND('',#2884,.T.); #3545=FACE_BOUND('',#2885,.T.); #3546=FACE_BOUND('',#2886,.T.); #3547=FACE_BOUND('',#2887,.T.); #3548=FACE_BOUND('',#2888,.T.); #3549=FACE_BOUND('',#2889,.T.); #3550=FACE_BOUND('',#2890,.T.); #3551=FACE_BOUND('',#2891,.T.); #3552=FACE_BOUND('',#2892,.T.); #3553=FACE_BOUND('',#2893,.T.); #3554=FACE_BOUND('',#2894,.T.); #3555=FACE_BOUND('',#2895,.T.); #3556=FACE_BOUND('',#2896,.T.); #3557=FACE_BOUND('',#2897,.T.); #3558=FACE_BOUND('',#2898,.T.); #3559=FACE_BOUND('',#2899,.T.); #3560=FACE_BOUND('',#2900,.T.); #3561=FACE_BOUND('',#2901,.T.); #3562=FACE_BOUND('',#2902,.T.); #3563=FACE_BOUND('',#2903,.T.); #3564=FACE_BOUND('',#2904,.T.); #3565=FACE_BOUND('',#2905,.T.); #3566=FACE_BOUND('',#2906,.T.); #3567=FACE_BOUND('',#2907,.T.); #3568=FACE_BOUND('',#2908,.T.); #3569=FACE_BOUND('',#2909,.T.); #3570=FACE_BOUND('',#2910,.T.); #3571=FACE_BOUND('',#2911,.T.); #3572=FACE_BOUND('',#2912,.T.); #3573=FACE_BOUND('',#2913,.T.); #3574=FACE_BOUND('',#2914,.T.); #3575=FACE_BOUND('',#2915,.T.); #3576=FACE_BOUND('',#2916,.T.); #3577=FACE_BOUND('',#2917,.T.); #3578=FACE_BOUND('',#2918,.T.); #3579=FACE_BOUND('',#2919,.T.); #3580=FACE_BOUND('',#2920,.T.); #3581=FACE_BOUND('',#2921,.T.); #3582=FACE_BOUND('',#2922,.T.); #3583=FACE_BOUND('',#2923,.T.); #3584=FACE_BOUND('',#2924,.T.); #3585=FACE_BOUND('',#2925,.T.); #3586=FACE_BOUND('',#2926,.T.); #3587=FACE_BOUND('',#2927,.T.); #3588=FACE_BOUND('',#2928,.T.); #3589=FACE_BOUND('',#2929,.T.); #3590=FACE_BOUND('',#2930,.T.); #3591=FACE_BOUND('',#2931,.T.); #3592=FACE_BOUND('',#2932,.T.); #3593=FACE_BOUND('',#2933,.T.); #3594=FACE_BOUND('',#2934,.T.); #3595=FACE_BOUND('',#2935,.T.); #3596=FACE_BOUND('',#2936,.T.); #3597=FACE_BOUND('',#2937,.T.); #3598=FACE_BOUND('',#2938,.T.); #3599=FACE_BOUND('',#2939,.T.); #3600=FACE_BOUND('',#2940,.T.); #3601=FACE_BOUND('',#2941,.T.); #3602=FACE_BOUND('',#2942,.T.); #3603=FACE_BOUND('',#2943,.T.); #3604=FACE_BOUND('',#2944,.T.); #3605=FACE_BOUND('',#2945,.T.); #3606=FACE_BOUND('',#2946,.T.); #3607=FACE_BOUND('',#2947,.T.); #3608=FACE_BOUND('',#2948,.T.); #3609=FACE_BOUND('',#2949,.T.); #3610=FACE_BOUND('',#2950,.T.); #3611=FACE_BOUND('',#2951,.T.); #3612=FACE_BOUND('',#2952,.T.); #3613=FACE_BOUND('',#2953,.T.); #3614=FACE_BOUND('',#2954,.T.); #3615=FACE_BOUND('',#2955,.T.); #3616=FACE_BOUND('',#2956,.T.); #3617=FACE_BOUND('',#2957,.T.); #3618=FACE_BOUND('',#2958,.T.); #3619=FACE_BOUND('',#2959,.T.); #3620=FACE_BOUND('',#2960,.T.); #3621=FACE_BOUND('',#2961,.T.); #3622=FACE_BOUND('',#2962,.T.); #3623=FACE_BOUND('',#2963,.T.); #3624=FACE_BOUND('',#2964,.T.); #3625=FACE_BOUND('',#2965,.T.); #3626=FACE_BOUND('',#2966,.T.); #3627=FACE_BOUND('',#2967,.T.); #3628=FACE_BOUND('',#2968,.T.); #3629=FACE_BOUND('',#2969,.T.); #3630=FACE_BOUND('',#2970,.T.); #3631=FACE_BOUND('',#2971,.T.); #3632=FACE_BOUND('',#2972,.T.); #3633=FACE_BOUND('',#2973,.T.); #3634=FACE_BOUND('',#2974,.T.); #3635=FACE_BOUND('',#2975,.T.); #3636=FACE_BOUND('',#2976,.T.); #3637=FACE_BOUND('',#2977,.T.); #3638=FACE_BOUND('',#2978,.T.); #3639=FACE_BOUND('',#2979,.T.); #3640=FACE_BOUND('',#2980,.T.); #3641=FACE_BOUND('',#2981,.T.); #3642=FACE_BOUND('',#2982,.T.); #3643=FACE_BOUND('',#2983,.T.); #3644=FACE_BOUND('',#2984,.T.); #3645=FACE_BOUND('',#2985,.T.); #3646=FACE_BOUND('',#2986,.T.); #3647=FACE_BOUND('',#2987,.T.); #3648=FACE_BOUND('',#2988,.T.); #3649=FACE_BOUND('',#2989,.T.); #3650=FACE_BOUND('',#2990,.T.); #3651=FACE_BOUND('',#2991,.T.); #3652=FACE_BOUND('',#2992,.T.); #3653=FACE_BOUND('',#2993,.T.); #3654=FACE_BOUND('',#2994,.T.); #3655=FACE_BOUND('',#2995,.T.); #3656=FACE_BOUND('',#2996,.T.); #3657=FACE_BOUND('',#2997,.T.); #3658=FACE_BOUND('',#2998,.T.); #3659=FACE_BOUND('',#2999,.T.); #3660=FACE_BOUND('',#3000,.T.); #3661=FACE_BOUND('',#3001,.T.); #3662=FACE_BOUND('',#3002,.T.); #3663=FACE_BOUND('',#3003,.T.); #3664=FACE_BOUND('',#3004,.T.); #3665=FACE_BOUND('',#3005,.T.); #3666=FACE_BOUND('',#3006,.T.); #3667=FACE_BOUND('',#3007,.T.); #3668=FACE_BOUND('',#3008,.T.); #3669=FACE_BOUND('',#3009,.T.); #3670=FACE_BOUND('',#3010,.T.); #3671=FACE_BOUND('',#3011,.T.); #3672=FACE_BOUND('',#3012,.T.); #3673=FACE_BOUND('',#3013,.T.); #3674=FACE_BOUND('',#3014,.T.); #3675=FACE_BOUND('',#3015,.T.); #3676=FACE_BOUND('',#3016,.T.); #3677=FACE_BOUND('',#3017,.T.); #3678=FACE_BOUND('',#3018,.T.); #3679=FACE_BOUND('',#3019,.T.); #3680=FACE_BOUND('',#3020,.T.); #3681=FACE_BOUND('',#3021,.T.); #3682=FACE_BOUND('',#3022,.T.); #3683=FACE_BOUND('',#3023,.T.); #3684=FACE_BOUND('',#3024,.T.); #3685=FACE_BOUND('',#3025,.T.); #3686=FACE_BOUND('',#3026,.T.); #3687=FACE_BOUND('',#3027,.T.); #3688=FACE_BOUND('',#3028,.T.); #3689=FACE_BOUND('',#3029,.T.); #3690=FACE_BOUND('',#3030,.T.); #3691=FACE_BOUND('',#3031,.T.); #3692=FACE_BOUND('',#3032,.T.); #3693=FACE_BOUND('',#3033,.T.); #3694=FACE_BOUND('',#3034,.T.); #3695=FACE_BOUND('',#3035,.T.); #3696=FACE_BOUND('',#3036,.T.); #3697=FACE_BOUND('',#3037,.T.); #3698=FACE_BOUND('',#3038,.T.); #3699=FACE_BOUND('',#3039,.T.); #3700=FACE_BOUND('',#3040,.T.); #3701=FACE_BOUND('',#3041,.T.); #3702=FACE_BOUND('',#3042,.T.); #3703=FACE_BOUND('',#3043,.T.); #3704=FACE_BOUND('',#3044,.T.); #3705=FACE_BOUND('',#3045,.T.); #3706=FACE_BOUND('',#3046,.T.); #3707=FACE_BOUND('',#3047,.T.); #3708=FACE_BOUND('',#3048,.T.); #3709=FACE_BOUND('',#3049,.T.); #3710=FACE_BOUND('',#3050,.T.); #3711=FACE_BOUND('',#3051,.T.); #3712=FACE_BOUND('',#3052,.T.); #3713=FACE_BOUND('',#3053,.T.); #3714=FACE_BOUND('',#3054,.T.); #3715=FACE_BOUND('',#3055,.T.); #3716=FACE_BOUND('',#3056,.T.); #3717=FACE_BOUND('',#3057,.T.); #3718=FACE_BOUND('',#3058,.T.); #3719=FACE_BOUND('',#3059,.T.); #3720=FACE_BOUND('',#3060,.T.); #3721=FACE_BOUND('',#3061,.T.); #3722=FACE_BOUND('',#3062,.T.); #3723=FACE_BOUND('',#3063,.T.); #3724=FACE_BOUND('',#3064,.T.); #3725=FACE_BOUND('',#3065,.T.); #3726=FACE_BOUND('',#3066,.T.); #3727=FACE_BOUND('',#3067,.T.); #3728=FACE_BOUND('',#3068,.T.); #3729=FACE_BOUND('',#3069,.T.); #3730=FACE_BOUND('',#3070,.T.); #3731=FACE_BOUND('',#3071,.T.); #3732=FACE_BOUND('',#3072,.T.); #3733=FACE_BOUND('',#3073,.T.); #3734=FACE_BOUND('',#3074,.T.); #3735=FACE_BOUND('',#3075,.T.); #3736=FACE_BOUND('',#3076,.T.); #3737=FACE_BOUND('',#3077,.T.); #3738=FACE_BOUND('',#3078,.T.); #3739=FACE_BOUND('',#3079,.T.); #3740=FACE_BOUND('',#3080,.T.); #3741=FACE_BOUND('',#3081,.T.); #3742=FACE_BOUND('',#3082,.T.); #3743=FACE_BOUND('',#3083,.T.); #3744=FACE_BOUND('',#3084,.T.); #3745=FACE_BOUND('',#3085,.T.); #3746=FACE_BOUND('',#3086,.T.); #3747=FACE_BOUND('',#3087,.T.); #3748=FACE_BOUND('',#3088,.T.); #3749=FACE_BOUND('',#3089,.T.); #3750=FACE_BOUND('',#3090,.T.); #3751=FACE_BOUND('',#3091,.T.); #3752=FACE_BOUND('',#3092,.T.); #3753=FACE_BOUND('',#3093,.T.); #3754=FACE_BOUND('',#3094,.T.); #3755=FACE_BOUND('',#3095,.T.); #3756=FACE_BOUND('',#3096,.T.); #3757=FACE_BOUND('',#3097,.T.); #3758=FACE_BOUND('',#3098,.T.); #3759=FACE_BOUND('',#3099,.T.); #3760=FACE_BOUND('',#3100,.T.); #3761=FACE_BOUND('',#3101,.T.); #3762=FACE_BOUND('',#3102,.T.); #3763=FACE_BOUND('',#3103,.T.); #3764=FACE_BOUND('',#3104,.T.); #3765=FACE_BOUND('',#3105,.T.); #3766=FACE_BOUND('',#3106,.T.); #3767=FACE_BOUND('',#3107,.T.); #3768=FACE_BOUND('',#3108,.T.); #3769=FACE_BOUND('',#3109,.T.); #3770=FACE_BOUND('',#3110,.T.); #3771=FACE_BOUND('',#3111,.T.); #3772=FACE_BOUND('',#3112,.T.); #3773=FACE_BOUND('',#3113,.T.); #3774=FACE_BOUND('',#3114,.T.); #3775=FACE_BOUND('',#3115,.T.); #3776=FACE_BOUND('',#3116,.T.); #3777=FACE_BOUND('',#3117,.T.); #3778=FACE_BOUND('',#3118,.T.); #3779=FACE_BOUND('',#3119,.T.); #3780=FACE_BOUND('',#3120,.T.); #3781=FACE_BOUND('',#3121,.T.); #3782=FACE_BOUND('',#3122,.T.); #3783=FACE_BOUND('',#3123,.T.); #3784=FACE_BOUND('',#3124,.T.); #3785=FACE_BOUND('',#3125,.T.); #3786=FACE_BOUND('',#3126,.T.); #3787=FACE_BOUND('',#3127,.T.); #3788=FACE_BOUND('',#3128,.T.); #3789=FACE_BOUND('',#3129,.T.); #3790=FACE_BOUND('',#3130,.T.); #3791=FACE_BOUND('',#3131,.T.); #3792=FACE_BOUND('',#3132,.T.); #3793=FACE_BOUND('',#3133,.T.); #3794=FACE_BOUND('',#3134,.T.); #3795=FACE_BOUND('',#3135,.T.); #3796=FACE_BOUND('',#3136,.T.); #3797=FACE_BOUND('',#3137,.T.); #3798=FACE_BOUND('',#3138,.T.); #3799=FACE_BOUND('',#3139,.T.); #3800=FACE_BOUND('',#3140,.T.); #3801=FACE_BOUND('',#3141,.T.); #3802=FACE_BOUND('',#3142,.T.); #3803=FACE_BOUND('',#3143,.T.); #3804=FACE_BOUND('',#3144,.T.); #3805=FACE_BOUND('',#3145,.T.); #3806=FACE_BOUND('',#3146,.T.); #3807=FACE_BOUND('',#3147,.T.); #3808=FACE_BOUND('',#3148,.T.); #3809=FACE_BOUND('',#3149,.T.); #3810=FACE_BOUND('',#3150,.T.); #3811=FACE_BOUND('',#3151,.T.); #3812=FACE_BOUND('',#3152,.T.); #3813=FACE_BOUND('',#3153,.T.); #3814=FACE_BOUND('',#3154,.T.); #3815=FACE_BOUND('',#3155,.T.); #3816=FACE_BOUND('',#3156,.T.); #3817=FACE_BOUND('',#3157,.T.); #3818=FACE_BOUND('',#3158,.T.); #3819=PLANE('',#4076); #3820=PLANE('',#4077); #3821=PLANE('',#4082); #3822=PLANE('',#4083); #3823=PLANE('',#4088); #3824=PLANE('',#4150); #3825=PLANE('',#4183); #3826=PLANE('',#4244); #3827=PLANE('',#4306); #3828=PLANE('',#4339); #3829=PLANE('',#4555); #3830=PLANE('',#4560); #3831=PLANE('',#4568); #3832=PLANE('',#4573); #3833=PLANE('',#4579); #3834=PLANE('',#4584); #3835=PLANE('',#4588); #3836=PLANE('',#4596); #3837=ADVANCED_FACE('',(#3159),#3819,.T.); #3838=ADVANCED_FACE('',(#3160),#3820,.T.); #3839=ADVANCED_FACE('',(#3161),#3821,.F.); #3840=ADVANCED_FACE('',(#3162),#3822,.T.); #3841=ADVANCED_FACE('',(#3163,#3164,#3165,#3166,#3167,#3168,#3169,#3170, #3171,#3172,#3173,#3174,#3175,#3176,#3177,#3178,#3179,#3180,#3181,#3182, #3183,#3184,#3185,#3186,#3187,#3188,#3189,#3190,#3191,#3192,#3193,#3194, #3195,#3196,#3197,#3198,#3199,#3200,#3201,#3202,#3203,#3204,#3205,#3206, #3207,#3208,#3209,#3210,#3211,#3212,#3213,#3214,#3215,#3216,#3217,#3218, #3219,#3220,#3221,#3222,#3223),#3823,.F.); #3842=ADVANCED_FACE('',(#3224),#525,.F.); #3843=ADVANCED_FACE('',(#3225,#3226,#3227,#3228,#3229,#3230,#3231,#3232, #3233,#3234,#3235,#3236,#3237,#3238,#3239,#3240,#3241,#3242,#3243,#3244, #3245,#3246,#3247,#3248,#3249,#3250,#3251,#3252,#3253,#3254,#3255,#3256), #3824,.F.); #3844=ADVANCED_FACE('',(#3257),#526,.F.); #3845=ADVANCED_FACE('',(#3258,#3259,#3260,#3261,#3262,#3263,#3264,#3265, #3266,#3267,#3268,#3269,#3270,#3271,#3272,#3273,#3274,#3275,#3276,#3277, #3278,#3279,#3280,#3281,#3282,#3283,#3284,#3285,#3286,#3287,#3288,#3289, #3290,#3291,#3292,#3293,#3294,#3295,#3296,#3297,#3298,#3299,#3300,#3301, #3302,#3303,#3304,#3305,#3306,#3307,#3308,#3309,#3310,#3311,#3312,#3313, #3314,#3315,#3316,#3317,#3318),#3825,.F.); #3846=ADVANCED_FACE('',(#3319,#3320,#3321,#3322,#3323,#3324,#3325,#3326, #3327,#3328,#3329,#3330,#3331,#3332,#3333,#3334,#3335,#3336,#3337,#3338, #3339,#3340,#3341,#3342,#3343,#3344,#3345,#3346,#3347,#3348,#3349,#3350, #3351,#3352,#3353,#3354,#3355,#3356,#3357,#3358,#3359,#3360,#3361,#3362, #3363,#3364,#3365,#3366,#3367,#3368,#3369,#3370,#3371,#3372,#3373,#3374, #3375,#3376,#3377,#3378,#3379),#3826,.T.); #3847=ADVANCED_FACE('',(#3380),#527,.T.); #3848=ADVANCED_FACE('',(#3381,#3382,#3383,#3384,#3385,#3386,#3387,#3388, #3389,#3390,#3391,#3392,#3393,#3394,#3395,#3396,#3397,#3398,#3399,#3400, #3401,#3402,#3403,#3404,#3405,#3406,#3407,#3408,#3409,#3410,#3411,#3412), #3827,.T.); #3849=ADVANCED_FACE('',(#3413),#528,.T.); #3850=ADVANCED_FACE('',(#3414,#3415,#3416,#3417,#3418,#3419,#3420,#3421, #3422,#3423,#3424,#3425,#3426,#3427,#3428,#3429,#3430,#3431,#3432,#3433, #3434,#3435,#3436,#3437,#3438,#3439,#3440,#3441,#3442,#3443,#3444,#3445, #3446,#3447,#3448,#3449,#3450,#3451,#3452,#3453,#3454,#3455,#3456,#3457, #3458,#3459,#3460,#3461,#3462,#3463,#3464,#3465,#3466,#3467,#3468,#3469, #3470,#3471,#3472,#3473,#3474),#3828,.T.); #3851=ADVANCED_FACE('',(#3475,#3476),#529,.F.); #3852=ADVANCED_FACE('',(#3477,#3478),#530,.F.); #3853=ADVANCED_FACE('',(#3479,#3480),#531,.F.); #3854=ADVANCED_FACE('',(#3481,#3482),#532,.F.); #3855=ADVANCED_FACE('',(#3483,#3484),#533,.F.); #3856=ADVANCED_FACE('',(#3485,#3486),#534,.F.); #3857=ADVANCED_FACE('',(#3487,#3488),#535,.F.); #3858=ADVANCED_FACE('',(#3489,#3490),#536,.F.); #3859=ADVANCED_FACE('',(#3491,#3492),#537,.F.); #3860=ADVANCED_FACE('',(#3493,#3494),#538,.F.); #3861=ADVANCED_FACE('',(#3495,#3496),#539,.F.); #3862=ADVANCED_FACE('',(#3497,#3498),#540,.F.); #3863=ADVANCED_FACE('',(#3499,#3500),#541,.F.); #3864=ADVANCED_FACE('',(#3501,#3502),#542,.F.); #3865=ADVANCED_FACE('',(#3503,#3504),#543,.F.); #3866=ADVANCED_FACE('',(#3505,#3506),#544,.F.); #3867=ADVANCED_FACE('',(#3507,#3508),#545,.F.); #3868=ADVANCED_FACE('',(#3509,#3510),#546,.F.); #3869=ADVANCED_FACE('',(#3511,#3512),#547,.F.); #3870=ADVANCED_FACE('',(#3513,#3514),#548,.F.); #3871=ADVANCED_FACE('',(#3515,#3516),#549,.F.); #3872=ADVANCED_FACE('',(#3517,#3518),#550,.F.); #3873=ADVANCED_FACE('',(#3519,#3520),#551,.F.); #3874=ADVANCED_FACE('',(#3521,#3522),#552,.F.); #3875=ADVANCED_FACE('',(#3523,#3524),#553,.F.); #3876=ADVANCED_FACE('',(#3525,#3526),#554,.F.); #3877=ADVANCED_FACE('',(#3527,#3528),#555,.F.); #3878=ADVANCED_FACE('',(#3529,#3530),#556,.F.); #3879=ADVANCED_FACE('',(#3531,#3532),#557,.F.); #3880=ADVANCED_FACE('',(#3533,#3534),#558,.F.); #3881=ADVANCED_FACE('',(#3535,#3536),#559,.F.); #3882=ADVANCED_FACE('',(#3537,#3538),#560,.F.); #3883=ADVANCED_FACE('',(#3539,#3540),#561,.F.); #3884=ADVANCED_FACE('',(#3541,#3542),#562,.F.); #3885=ADVANCED_FACE('',(#3543,#3544),#563,.F.); #3886=ADVANCED_FACE('',(#3545,#3546),#564,.F.); #3887=ADVANCED_FACE('',(#3547,#3548),#565,.F.); #3888=ADVANCED_FACE('',(#3549,#3550),#566,.F.); #3889=ADVANCED_FACE('',(#3551,#3552),#567,.F.); #3890=ADVANCED_FACE('',(#3553,#3554),#568,.F.); #3891=ADVANCED_FACE('',(#3555,#3556),#569,.F.); #3892=ADVANCED_FACE('',(#3557,#3558),#570,.F.); #3893=ADVANCED_FACE('',(#3559,#3560),#571,.F.); #3894=ADVANCED_FACE('',(#3561,#3562),#572,.F.); #3895=ADVANCED_FACE('',(#3563,#3564),#573,.F.); #3896=ADVANCED_FACE('',(#3565,#3566),#574,.F.); #3897=ADVANCED_FACE('',(#3567,#3568),#575,.F.); #3898=ADVANCED_FACE('',(#3569,#3570),#576,.F.); #3899=ADVANCED_FACE('',(#3571,#3572),#577,.F.); #3900=ADVANCED_FACE('',(#3573,#3574),#578,.F.); #3901=ADVANCED_FACE('',(#3575,#3576),#579,.F.); #3902=ADVANCED_FACE('',(#3577,#3578),#580,.F.); #3903=ADVANCED_FACE('',(#3579,#3580),#581,.F.); #3904=ADVANCED_FACE('',(#3581,#3582),#582,.F.); #3905=ADVANCED_FACE('',(#3583,#3584),#583,.F.); #3906=ADVANCED_FACE('',(#3585,#3586),#584,.F.); #3907=ADVANCED_FACE('',(#3587,#3588),#585,.F.); #3908=ADVANCED_FACE('',(#3589,#3590),#586,.F.); #3909=ADVANCED_FACE('',(#3591,#3592),#587,.F.); #3910=ADVANCED_FACE('',(#3593,#3594),#588,.F.); #3911=ADVANCED_FACE('',(#3595,#3596),#589,.F.); #3912=ADVANCED_FACE('',(#3597,#3598),#590,.F.); #3913=ADVANCED_FACE('',(#3599,#3600),#591,.F.); #3914=ADVANCED_FACE('',(#3601,#3602),#592,.F.); #3915=ADVANCED_FACE('',(#3603,#3604),#593,.F.); #3916=ADVANCED_FACE('',(#3605,#3606),#594,.F.); #3917=ADVANCED_FACE('',(#3607,#3608),#595,.F.); #3918=ADVANCED_FACE('',(#3609,#3610),#596,.F.); #3919=ADVANCED_FACE('',(#3611,#3612),#597,.F.); #3920=ADVANCED_FACE('',(#3613,#3614),#598,.F.); #3921=ADVANCED_FACE('',(#3615,#3616),#599,.F.); #3922=ADVANCED_FACE('',(#3617,#3618),#600,.F.); #3923=ADVANCED_FACE('',(#3619,#3620),#601,.F.); #3924=ADVANCED_FACE('',(#3621,#3622),#602,.F.); #3925=ADVANCED_FACE('',(#3623,#3624),#603,.F.); #3926=ADVANCED_FACE('',(#3625,#3626),#604,.F.); #3927=ADVANCED_FACE('',(#3627,#3628),#605,.F.); #3928=ADVANCED_FACE('',(#3629,#3630),#606,.F.); #3929=ADVANCED_FACE('',(#3631,#3632),#607,.F.); #3930=ADVANCED_FACE('',(#3633,#3634),#608,.F.); #3931=ADVANCED_FACE('',(#3635,#3636),#609,.F.); #3932=ADVANCED_FACE('',(#3637,#3638),#610,.F.); #3933=ADVANCED_FACE('',(#3639,#3640),#611,.F.); #3934=ADVANCED_FACE('',(#3641,#3642),#612,.F.); #3935=ADVANCED_FACE('',(#3643,#3644),#613,.F.); #3936=ADVANCED_FACE('',(#3645,#3646),#614,.F.); #3937=ADVANCED_FACE('',(#3647,#3648),#615,.F.); #3938=ADVANCED_FACE('',(#3649,#3650),#616,.F.); #3939=ADVANCED_FACE('',(#3651,#3652),#617,.F.); #3940=ADVANCED_FACE('',(#3653,#3654),#618,.F.); #3941=ADVANCED_FACE('',(#3655,#3656),#619,.F.); #3942=ADVANCED_FACE('',(#3657,#3658),#620,.F.); #3943=ADVANCED_FACE('',(#3659,#3660),#621,.F.); #3944=ADVANCED_FACE('',(#3661,#3662),#622,.F.); #3945=ADVANCED_FACE('',(#3663,#3664),#623,.F.); #3946=ADVANCED_FACE('',(#3665,#3666),#624,.F.); #3947=ADVANCED_FACE('',(#3667,#3668),#625,.F.); #3948=ADVANCED_FACE('',(#3669,#3670),#626,.F.); #3949=ADVANCED_FACE('',(#3671,#3672),#627,.F.); #3950=ADVANCED_FACE('',(#3673,#3674),#628,.F.); #3951=ADVANCED_FACE('',(#3675,#3676),#629,.F.); #3952=ADVANCED_FACE('',(#3677,#3678),#630,.F.); #3953=ADVANCED_FACE('',(#3679,#3680),#631,.F.); #3954=ADVANCED_FACE('',(#3681,#3682),#632,.F.); #3955=ADVANCED_FACE('',(#3683,#3684),#633,.F.); #3956=ADVANCED_FACE('',(#3685,#3686),#634,.F.); #3957=ADVANCED_FACE('',(#3687,#3688),#635,.F.); #3958=ADVANCED_FACE('',(#3689,#3690),#636,.F.); #3959=ADVANCED_FACE('',(#3691,#3692),#637,.F.); #3960=ADVANCED_FACE('',(#3693,#3694),#638,.F.); #3961=ADVANCED_FACE('',(#3695,#3696),#639,.F.); #3962=ADVANCED_FACE('',(#3697,#3698),#640,.F.); #3963=ADVANCED_FACE('',(#3699,#3700),#641,.F.); #3964=ADVANCED_FACE('',(#3701,#3702),#642,.F.); #3965=ADVANCED_FACE('',(#3703,#3704),#643,.F.); #3966=ADVANCED_FACE('',(#3705,#3706),#644,.F.); #3967=ADVANCED_FACE('',(#3707,#3708),#645,.F.); #3968=ADVANCED_FACE('',(#3709,#3710),#646,.F.); #3969=ADVANCED_FACE('',(#3711,#3712),#647,.F.); #3970=ADVANCED_FACE('',(#3713,#3714),#648,.F.); #3971=ADVANCED_FACE('',(#3715,#3716),#649,.F.); #3972=ADVANCED_FACE('',(#3717,#3718),#650,.F.); #3973=ADVANCED_FACE('',(#3719,#3720),#651,.F.); #3974=ADVANCED_FACE('',(#3721,#3722),#652,.F.); #3975=ADVANCED_FACE('',(#3723,#3724),#653,.F.); #3976=ADVANCED_FACE('',(#3725,#3726),#654,.F.); #3977=ADVANCED_FACE('',(#3727,#3728),#655,.F.); #3978=ADVANCED_FACE('',(#3729,#3730),#656,.F.); #3979=ADVANCED_FACE('',(#3731,#3732),#657,.F.); #3980=ADVANCED_FACE('',(#3733,#3734),#658,.F.); #3981=ADVANCED_FACE('',(#3735,#3736),#659,.F.); #3982=ADVANCED_FACE('',(#3737,#3738),#660,.F.); #3983=ADVANCED_FACE('',(#3739,#3740),#661,.F.); #3984=ADVANCED_FACE('',(#3741,#3742),#662,.F.); #3985=ADVANCED_FACE('',(#3743,#3744),#663,.F.); #3986=ADVANCED_FACE('',(#3745,#3746),#664,.F.); #3987=ADVANCED_FACE('',(#3747,#3748),#665,.F.); #3988=ADVANCED_FACE('',(#3749,#3750),#666,.F.); #3989=ADVANCED_FACE('',(#3751,#3752),#667,.F.); #3990=ADVANCED_FACE('',(#3753,#3754),#668,.F.); #3991=ADVANCED_FACE('',(#3755,#3756),#669,.F.); #3992=ADVANCED_FACE('',(#3757,#3758),#670,.F.); #3993=ADVANCED_FACE('',(#3759,#3760),#671,.F.); #3994=ADVANCED_FACE('',(#3761,#3762),#672,.F.); #3995=ADVANCED_FACE('',(#3763,#3764),#673,.F.); #3996=ADVANCED_FACE('',(#3765,#3766),#674,.F.); #3997=ADVANCED_FACE('',(#3767,#3768),#675,.F.); #3998=ADVANCED_FACE('',(#3769,#3770),#676,.F.); #3999=ADVANCED_FACE('',(#3771,#3772),#677,.F.); #4000=ADVANCED_FACE('',(#3773,#3774),#678,.F.); #4001=ADVANCED_FACE('',(#3775,#3776),#679,.F.); #4002=ADVANCED_FACE('',(#3777,#3778),#680,.F.); #4003=ADVANCED_FACE('',(#3779,#3780),#3829,.T.); #4004=ADVANCED_FACE('',(#3781,#3782),#681,.T.); #4005=ADVANCED_FACE('',(#3783,#3784),#3830,.T.); #4006=ADVANCED_FACE('',(#3785,#3786),#21,.T.); #4007=ADVANCED_FACE('',(#3787,#3788),#22,.T.); #4008=ADVANCED_FACE('',(#3789,#3790),#682,.F.); #4009=ADVANCED_FACE('',(#3791,#3792),#3831,.T.); #4010=ADVANCED_FACE('',(#3793,#3794),#683,.T.); #4011=ADVANCED_FACE('',(#3795,#3796),#3832,.T.); #4012=ADVANCED_FACE('',(#3797,#3798),#23,.T.); #4013=ADVANCED_FACE('',(#3799,#3800),#24,.T.); #4014=ADVANCED_FACE('',(#3801),#3833,.T.); #4015=ADVANCED_FACE('',(#3802,#3803),#684,.T.); #4016=ADVANCED_FACE('',(#3804,#3805),#3834,.T.); #4017=ADVANCED_FACE('',(#3806,#3807),#685,.T.); #4018=ADVANCED_FACE('',(#3808,#3809),#3835,.T.); #4019=ADVANCED_FACE('',(#3810,#3811),#686,.T.); #4020=ADVANCED_FACE('',(#3812,#3813),#18,.T.); #4021=ADVANCED_FACE('',(#3814,#3815),#687,.F.); #4022=ADVANCED_FACE('',(#3816),#3836,.F.); #4023=ADVANCED_FACE('',(#3817,#3818),#19,.T.); #4024=CLOSED_SHELL('',(#3837,#3838,#3839,#3840,#3841,#3842,#3843,#3844, #3845,#3846,#3847,#3848,#3849,#3850,#3851,#3852,#3853,#3854,#3855,#3856, #3857,#3858,#3859,#3860,#3861,#3862,#3863,#3864,#3865,#3866,#3867,#3868, #3869,#3870,#3871,#3872,#3873,#3874,#3875,#3876,#3877,#3878,#3879,#3880, #3881,#3882,#3883,#3884,#3885,#3886,#3887,#3888,#3889,#3890,#3891,#3892, #3893,#3894,#3895,#3896,#3897,#3898,#3899,#3900,#3901,#3902,#3903,#3904, #3905,#3906,#3907,#3908,#3909,#3910,#3911,#3912,#3913,#3914,#3915,#3916, #3917,#3918,#3919,#3920,#3921,#3922,#3923,#3924,#3925,#3926,#3927,#3928, #3929,#3930,#3931,#3932,#3933,#3934,#3935,#3936,#3937,#3938,#3939,#3940, #3941,#3942,#3943,#3944,#3945,#3946,#3947,#3948,#3949,#3950,#3951,#3952, #3953,#3954,#3955,#3956,#3957,#3958,#3959,#3960,#3961,#3962,#3963,#3964, #3965,#3966,#3967,#3968,#3969,#3970,#3971,#3972,#3973,#3974,#3975,#3976, #3977,#3978,#3979,#3980,#3981,#3982,#3983,#3984,#3985,#3986,#3987,#3988, #3989,#3990,#3991,#3992,#3993,#3994,#3995,#3996,#3997,#3998,#3999,#4000, #4001)); #4025=CLOSED_SHELL('',(#4002,#4003,#4004,#4005,#4006,#4007)); #4026=CLOSED_SHELL('',(#4008,#4009,#4010,#4011,#4012,#4013)); #4027=CLOSED_SHELL('',(#4014,#4015,#4016,#4017,#4018,#4019,#4020,#4021, #4022,#4023)); #4028=STYLED_ITEM('',(#4032),#4059); #4029=STYLED_ITEM('',(#4033),#4060); #4030=STYLED_ITEM('',(#4034),#4061); #4031=STYLED_ITEM('',(#4035),#4062); #4032=PRESENTATION_STYLE_ASSIGNMENT((#4036)); #4033=PRESENTATION_STYLE_ASSIGNMENT((#4037)); #4034=PRESENTATION_STYLE_ASSIGNMENT((#4038)); #4035=PRESENTATION_STYLE_ASSIGNMENT((#4039)); #4036=SURFACE_STYLE_USAGE(.BOTH.,#4040); #4037=SURFACE_STYLE_USAGE(.BOTH.,#4041); #4038=SURFACE_STYLE_USAGE(.BOTH.,#4042); #4039=SURFACE_STYLE_USAGE(.BOTH.,#4043); #4040=SURFACE_SIDE_STYLE('',(#4044)); #4041=SURFACE_SIDE_STYLE('',(#4045)); #4042=SURFACE_SIDE_STYLE('',(#4046)); #4043=SURFACE_SIDE_STYLE('',(#4047)); #4044=SURFACE_STYLE_FILL_AREA(#4048); #4045=SURFACE_STYLE_FILL_AREA(#4049); #4046=SURFACE_STYLE_FILL_AREA(#4050); #4047=SURFACE_STYLE_FILL_AREA(#4051); #4048=FILL_AREA_STYLE('',(#4052)); #4049=FILL_AREA_STYLE('',(#4053)); #4050=FILL_AREA_STYLE('',(#4054)); #4051=FILL_AREA_STYLE('',(#4055)); #4052=FILL_AREA_STYLE_COLOUR('',#4056); #4053=FILL_AREA_STYLE_COLOUR('',#4057); #4054=FILL_AREA_STYLE_COLOUR('',#20); #4055=FILL_AREA_STYLE_COLOUR('',#4058); #4056=COLOUR_RGB('',0.807262599468231,0.831005573272705,0.850000023841858); #4057=COLOUR_RGB('',0.639999985694885,0.670000016689301,0.689999997615814); #4058=COLOUR_RGB('',0.645180702209473,0.678915679454803,0.699999988079071); #4059=MANIFOLD_SOLID_BREP('',#4024); #4060=MANIFOLD_SOLID_BREP('',#4025); #4061=MANIFOLD_SOLID_BREP('',#4026); #4062=MANIFOLD_SOLID_BREP('',#4027); #4063=SHAPE_DEFINITION_REPRESENTATION(#7063,#4069); #4064=SHAPE_DEFINITION_REPRESENTATION(#7062,#4070); #4065=SHAPE_DEFINITION_REPRESENTATION(#7066,#4071); #4066=SHAPE_DEFINITION_REPRESENTATION(#7065,#4072); #4067=SHAPE_DEFINITION_REPRESENTATION(#7068,#4073); #4068=SHAPE_DEFINITION_REPRESENTATION(#7071,#4074); #4069=SHAPE_REPRESENTATION('1486-01-01',(#4075),#7048); #4070=SHAPE_REPRESENTATION('1486-02-00',(#4075,#4551,#4578,#4598,#4599, #4600,#4601,#4602,#4603,#4604,#4605,#4606,#4607,#4608,#4609,#4610,#4611, #4612,#4613,#4614,#4615,#4616,#4617,#4618,#4619,#4620,#4621,#4622,#4623, #4624,#4625,#4626,#4627,#4628,#4629,#4630,#4631,#4632,#4633,#4634,#4635, #4636,#4637,#4638,#4639,#4640,#4641,#4642,#4643,#4644,#4645,#4646,#4647, #4648,#4649,#4650,#4651,#4652,#4653,#4654,#4655,#4656,#4657,#4658,#4659, #4660,#4661,#4662,#4663,#4664,#4665,#4666,#4667,#4668,#4669,#4670,#4671, #4672,#4673,#4674,#4675,#4676,#4677,#4678,#4679,#4680,#4681,#4682,#4683, #4684,#4685,#4686,#4687,#4688,#4689,#4690,#4691,#4692,#4693,#4694,#4695, #4696,#4697,#4698,#4699,#4700,#4701,#4702,#4703,#4704,#4705,#4706,#4707, #4708,#4709,#4710,#4711,#4712,#4713,#4714,#4715,#4716),#7047); #4071=SHAPE_REPRESENTATION('1486-03-01',(#4075),#7050); #4072=SHAPE_REPRESENTATION('1486-03-00',(#4075,#4564,#4577),#7049); #4073=SHAPE_REPRESENTATION('1486-03-02',(#4075),#7051); #4074=SHAPE_REPRESENTATION('Nit.',(#4075),#7052); #4075=AXIS2_PLACEMENT_3D('',#6029,#4717,#4718); #4076=AXIS2_PLACEMENT_3D('',#6030,#4719,#4720); #4077=AXIS2_PLACEMENT_3D('',#6039,#4725,#4726); #4078=AXIS2_PLACEMENT_3D('',#6043,#4728,#4729); #4079=AXIS2_PLACEMENT_3D('',#6047,#4731,#4732); #4080=AXIS2_PLACEMENT_3D('',#6052,#4735,#4736); #4081=AXIS2_PLACEMENT_3D('',#6056,#4738,#4739); #4082=AXIS2_PLACEMENT_3D('',#6061,#4742,#4743); #4083=AXIS2_PLACEMENT_3D('',#6067,#4747,#4748); #4084=AXIS2_PLACEMENT_3D('',#6068,#4749,#4750); #4085=AXIS2_PLACEMENT_3D('',#6073,#4752,#4753); #4086=AXIS2_PLACEMENT_3D('',#6078,#4756,#4757); #4087=AXIS2_PLACEMENT_3D('',#6082,#4759,#4760); #4088=AXIS2_PLACEMENT_3D('',#6086,#4763,#4764); #4089=AXIS2_PLACEMENT_3D('',#6087,#4765,#4766); #4090=AXIS2_PLACEMENT_3D('',#6089,#4767,#4768); #4091=AXIS2_PLACEMENT_3D('',#6091,#4769,#4770); #4092=AXIS2_PLACEMENT_3D('',#6093,#4771,#4772); #4093=AXIS2_PLACEMENT_3D('',#6095,#4773,#4774); #4094=AXIS2_PLACEMENT_3D('',#6097,#4775,#4776); #4095=AXIS2_PLACEMENT_3D('',#6099,#4777,#4778); #4096=AXIS2_PLACEMENT_3D('',#6101,#4779,#4780); #4097=AXIS2_PLACEMENT_3D('',#6103,#4781,#4782); #4098=AXIS2_PLACEMENT_3D('',#6105,#4783,#4784); #4099=AXIS2_PLACEMENT_3D('',#6107,#4785,#4786); #4100=AXIS2_PLACEMENT_3D('',#6109,#4787,#4788); #4101=AXIS2_PLACEMENT_3D('',#6111,#4789,#4790); #4102=AXIS2_PLACEMENT_3D('',#6113,#4791,#4792); #4103=AXIS2_PLACEMENT_3D('',#6115,#4793,#4794); #4104=AXIS2_PLACEMENT_3D('',#6117,#4795,#4796); #4105=AXIS2_PLACEMENT_3D('',#6119,#4797,#4798); #4106=AXIS2_PLACEMENT_3D('',#6121,#4799,#4800); #4107=AXIS2_PLACEMENT_3D('',#6123,#4801,#4802); #4108=AXIS2_PLACEMENT_3D('',#6125,#4803,#4804); #4109=AXIS2_PLACEMENT_3D('',#6127,#4805,#4806); #4110=AXIS2_PLACEMENT_3D('',#6129,#4807,#4808); #4111=AXIS2_PLACEMENT_3D('',#6131,#4809,#4810); #4112=AXIS2_PLACEMENT_3D('',#6133,#4811,#4812); #4113=AXIS2_PLACEMENT_3D('',#6135,#4813,#4814); #4114=AXIS2_PLACEMENT_3D('',#6137,#4815,#4816); #4115=AXIS2_PLACEMENT_3D('',#6139,#4817,#4818); #4116=AXIS2_PLACEMENT_3D('',#6141,#4819,#4820); #4117=AXIS2_PLACEMENT_3D('',#6143,#4821,#4822); #4118=AXIS2_PLACEMENT_3D('',#6145,#4823,#4824); #4119=AXIS2_PLACEMENT_3D('',#6147,#4825,#4826); #4120=AXIS2_PLACEMENT_3D('',#6149,#4827,#4828); #4121=AXIS2_PLACEMENT_3D('',#6151,#4829,#4830); #4122=AXIS2_PLACEMENT_3D('',#6153,#4831,#4832); #4123=AXIS2_PLACEMENT_3D('',#6155,#4833,#4834); #4124=AXIS2_PLACEMENT_3D('',#6157,#4835,#4836); #4125=AXIS2_PLACEMENT_3D('',#6159,#4837,#4838); #4126=AXIS2_PLACEMENT_3D('',#6161,#4839,#4840); #4127=AXIS2_PLACEMENT_3D('',#6163,#4841,#4842); #4128=AXIS2_PLACEMENT_3D('',#6165,#4843,#4844); #4129=AXIS2_PLACEMENT_3D('',#6167,#4845,#4846); #4130=AXIS2_PLACEMENT_3D('',#6169,#4847,#4848); #4131=AXIS2_PLACEMENT_3D('',#6171,#4849,#4850); #4132=AXIS2_PLACEMENT_3D('',#6173,#4851,#4852); #4133=AXIS2_PLACEMENT_3D('',#6175,#4853,#4854); #4134=AXIS2_PLACEMENT_3D('',#6177,#4855,#4856); #4135=AXIS2_PLACEMENT_3D('',#6179,#4857,#4858); #4136=AXIS2_PLACEMENT_3D('',#6181,#4859,#4860); #4137=AXIS2_PLACEMENT_3D('',#6183,#4861,#4862); #4138=AXIS2_PLACEMENT_3D('',#6185,#4863,#4864); #4139=AXIS2_PLACEMENT_3D('',#6187,#4865,#4866); #4140=AXIS2_PLACEMENT_3D('',#6189,#4867,#4868); #4141=AXIS2_PLACEMENT_3D('',#6191,#4869,#4870); #4142=AXIS2_PLACEMENT_3D('',#6193,#4871,#4872); #4143=AXIS2_PLACEMENT_3D('',#6195,#4873,#4874); #4144=AXIS2_PLACEMENT_3D('',#6197,#4875,#4876); #4145=AXIS2_PLACEMENT_3D('',#6199,#4877,#4878); #4146=AXIS2_PLACEMENT_3D('',#6201,#4879,#4880); #4147=AXIS2_PLACEMENT_3D('',#6203,#4881,#4882); #4148=AXIS2_PLACEMENT_3D('',#6205,#4883,#4884); #4149=AXIS2_PLACEMENT_3D('',#6208,#4886,#4887); #4150=AXIS2_PLACEMENT_3D('',#6210,#4889,#4890); #4151=AXIS2_PLACEMENT_3D('',#6211,#4891,#4892); #4152=AXIS2_PLACEMENT_3D('',#6213,#4893,#4894); #4153=AXIS2_PLACEMENT_3D('',#6215,#4895,#4896); #4154=AXIS2_PLACEMENT_3D('',#6217,#4897,#4898); #4155=AXIS2_PLACEMENT_3D('',#6219,#4899,#4900); #4156=AXIS2_PLACEMENT_3D('',#6221,#4901,#4902); #4157=AXIS2_PLACEMENT_3D('',#6223,#4903,#4904); #4158=AXIS2_PLACEMENT_3D('',#6225,#4905,#4906); #4159=AXIS2_PLACEMENT_3D('',#6227,#4907,#4908); #4160=AXIS2_PLACEMENT_3D('',#6229,#4909,#4910); #4161=AXIS2_PLACEMENT_3D('',#6231,#4911,#4912); #4162=AXIS2_PLACEMENT_3D('',#6233,#4913,#4914); #4163=AXIS2_PLACEMENT_3D('',#6235,#4915,#4916); #4164=AXIS2_PLACEMENT_3D('',#6237,#4917,#4918); #4165=AXIS2_PLACEMENT_3D('',#6239,#4919,#4920); #4166=AXIS2_PLACEMENT_3D('',#6241,#4921,#4922); #4167=AXIS2_PLACEMENT_3D('',#6243,#4923,#4924); #4168=AXIS2_PLACEMENT_3D('',#6245,#4925,#4926); #4169=AXIS2_PLACEMENT_3D('',#6247,#4927,#4928); #4170=AXIS2_PLACEMENT_3D('',#6249,#4929,#4930); #4171=AXIS2_PLACEMENT_3D('',#6251,#4931,#4932); #4172=AXIS2_PLACEMENT_3D('',#6253,#4933,#4934); #4173=AXIS2_PLACEMENT_3D('',#6255,#4935,#4936); #4174=AXIS2_PLACEMENT_3D('',#6257,#4937,#4938); #4175=AXIS2_PLACEMENT_3D('',#6259,#4939,#4940); #4176=AXIS2_PLACEMENT_3D('',#6261,#4941,#4942); #4177=AXIS2_PLACEMENT_3D('',#6263,#4943,#4944); #4178=AXIS2_PLACEMENT_3D('',#6265,#4945,#4946); #4179=AXIS2_PLACEMENT_3D('',#6267,#4947,#4948); #4180=AXIS2_PLACEMENT_3D('',#6269,#4949,#4950); #4181=AXIS2_PLACEMENT_3D('',#6271,#4951,#4952); #4182=AXIS2_PLACEMENT_3D('',#6274,#4954,#4955); #4183=AXIS2_PLACEMENT_3D('',#6276,#4957,#4958); #4184=AXIS2_PLACEMENT_3D('',#6277,#4959,#4960); #4185=AXIS2_PLACEMENT_3D('',#6279,#4961,#4962); #4186=AXIS2_PLACEMENT_3D('',#6281,#4963,#4964); #4187=AXIS2_PLACEMENT_3D('',#6283,#4965,#4966); #4188=AXIS2_PLACEMENT_3D('',#6285,#4967,#4968); #4189=AXIS2_PLACEMENT_3D('',#6287,#4969,#4970); #4190=AXIS2_PLACEMENT_3D('',#6289,#4971,#4972); #4191=AXIS2_PLACEMENT_3D('',#6291,#4973,#4974); #4192=AXIS2_PLACEMENT_3D('',#6293,#4975,#4976); #4193=AXIS2_PLACEMENT_3D('',#6295,#4977,#4978); #4194=AXIS2_PLACEMENT_3D('',#6297,#4979,#4980); #4195=AXIS2_PLACEMENT_3D('',#6299,#4981,#4982); #4196=AXIS2_PLACEMENT_3D('',#6301,#4983,#4984); #4197=AXIS2_PLACEMENT_3D('',#6303,#4985,#4986); #4198=AXIS2_PLACEMENT_3D('',#6305,#4987,#4988); #4199=AXIS2_PLACEMENT_3D('',#6307,#4989,#4990); #4200=AXIS2_PLACEMENT_3D('',#6309,#4991,#4992); #4201=AXIS2_PLACEMENT_3D('',#6311,#4993,#4994); #4202=AXIS2_PLACEMENT_3D('',#6313,#4995,#4996); #4203=AXIS2_PLACEMENT_3D('',#6315,#4997,#4998); #4204=AXIS2_PLACEMENT_3D('',#6317,#4999,#5000); #4205=AXIS2_PLACEMENT_3D('',#6319,#5001,#5002); #4206=AXIS2_PLACEMENT_3D('',#6321,#5003,#5004); #4207=AXIS2_PLACEMENT_3D('',#6323,#5005,#5006); #4208=AXIS2_PLACEMENT_3D('',#6325,#5007,#5008); #4209=AXIS2_PLACEMENT_3D('',#6327,#5009,#5010); #4210=AXIS2_PLACEMENT_3D('',#6329,#5011,#5012); #4211=AXIS2_PLACEMENT_3D('',#6331,#5013,#5014); #4212=AXIS2_PLACEMENT_3D('',#6333,#5015,#5016); #4213=AXIS2_PLACEMENT_3D('',#6335,#5017,#5018); #4214=AXIS2_PLACEMENT_3D('',#6337,#5019,#5020); #4215=AXIS2_PLACEMENT_3D('',#6339,#5021,#5022); #4216=AXIS2_PLACEMENT_3D('',#6341,#5023,#5024); #4217=AXIS2_PLACEMENT_3D('',#6343,#5025,#5026); #4218=AXIS2_PLACEMENT_3D('',#6345,#5027,#5028); #4219=AXIS2_PLACEMENT_3D('',#6347,#5029,#5030); #4220=AXIS2_PLACEMENT_3D('',#6349,#5031,#5032); #4221=AXIS2_PLACEMENT_3D('',#6351,#5033,#5034); #4222=AXIS2_PLACEMENT_3D('',#6353,#5035,#5036); #4223=AXIS2_PLACEMENT_3D('',#6355,#5037,#5038); #4224=AXIS2_PLACEMENT_3D('',#6357,#5039,#5040); #4225=AXIS2_PLACEMENT_3D('',#6359,#5041,#5042); #4226=AXIS2_PLACEMENT_3D('',#6361,#5043,#5044); #4227=AXIS2_PLACEMENT_3D('',#6363,#5045,#5046); #4228=AXIS2_PLACEMENT_3D('',#6365,#5047,#5048); #4229=AXIS2_PLACEMENT_3D('',#6367,#5049,#5050); #4230=AXIS2_PLACEMENT_3D('',#6369,#5051,#5052); #4231=AXIS2_PLACEMENT_3D('',#6371,#5053,#5054); #4232=AXIS2_PLACEMENT_3D('',#6373,#5055,#5056); #4233=AXIS2_PLACEMENT_3D('',#6375,#5057,#5058); #4234=AXIS2_PLACEMENT_3D('',#6377,#5059,#5060); #4235=AXIS2_PLACEMENT_3D('',#6379,#5061,#5062); #4236=AXIS2_PLACEMENT_3D('',#6381,#5063,#5064); #4237=AXIS2_PLACEMENT_3D('',#6383,#5065,#5066); #4238=AXIS2_PLACEMENT_3D('',#6385,#5067,#5068); #4239=AXIS2_PLACEMENT_3D('',#6387,#5069,#5070); #4240=AXIS2_PLACEMENT_3D('',#6389,#5071,#5072); #4241=AXIS2_PLACEMENT_3D('',#6391,#5073,#5074); #4242=AXIS2_PLACEMENT_3D('',#6393,#5075,#5076); #4243=AXIS2_PLACEMENT_3D('',#6395,#5077,#5078); #4244=AXIS2_PLACEMENT_3D('',#6397,#5079,#5080); #4245=AXIS2_PLACEMENT_3D('',#6398,#5081,#5082); #4246=AXIS2_PLACEMENT_3D('',#6400,#5083,#5084); #4247=AXIS2_PLACEMENT_3D('',#6402,#5085,#5086); #4248=AXIS2_PLACEMENT_3D('',#6404,#5087,#5088); #4249=AXIS2_PLACEMENT_3D('',#6406,#5089,#5090); #4250=AXIS2_PLACEMENT_3D('',#6408,#5091,#5092); #4251=AXIS2_PLACEMENT_3D('',#6410,#5093,#5094); #4252=AXIS2_PLACEMENT_3D('',#6412,#5095,#5096); #4253=AXIS2_PLACEMENT_3D('',#6414,#5097,#5098); #4254=AXIS2_PLACEMENT_3D('',#6416,#5099,#5100); #4255=AXIS2_PLACEMENT_3D('',#6418,#5101,#5102); #4256=AXIS2_PLACEMENT_3D('',#6420,#5103,#5104); #4257=AXIS2_PLACEMENT_3D('',#6422,#5105,#5106); #4258=AXIS2_PLACEMENT_3D('',#6424,#5107,#5108); #4259=AXIS2_PLACEMENT_3D('',#6426,#5109,#5110); #4260=AXIS2_PLACEMENT_3D('',#6428,#5111,#5112); #4261=AXIS2_PLACEMENT_3D('',#6430,#5113,#5114); #4262=AXIS2_PLACEMENT_3D('',#6432,#5115,#5116); #4263=AXIS2_PLACEMENT_3D('',#6434,#5117,#5118); #4264=AXIS2_PLACEMENT_3D('',#6436,#5119,#5120); #4265=AXIS2_PLACEMENT_3D('',#6438,#5121,#5122); #4266=AXIS2_PLACEMENT_3D('',#6440,#5123,#5124); #4267=AXIS2_PLACEMENT_3D('',#6442,#5125,#5126); #4268=AXIS2_PLACEMENT_3D('',#6444,#5127,#5128); #4269=AXIS2_PLACEMENT_3D('',#6446,#5129,#5130); #4270=AXIS2_PLACEMENT_3D('',#6448,#5131,#5132); #4271=AXIS2_PLACEMENT_3D('',#6450,#5133,#5134); #4272=AXIS2_PLACEMENT_3D('',#6452,#5135,#5136); #4273=AXIS2_PLACEMENT_3D('',#6454,#5137,#5138); #4274=AXIS2_PLACEMENT_3D('',#6456,#5139,#5140); #4275=AXIS2_PLACEMENT_3D('',#6458,#5141,#5142); #4276=AXIS2_PLACEMENT_3D('',#6460,#5143,#5144); #4277=AXIS2_PLACEMENT_3D('',#6462,#5145,#5146); #4278=AXIS2_PLACEMENT_3D('',#6464,#5147,#5148); #4279=AXIS2_PLACEMENT_3D('',#6466,#5149,#5150); #4280=AXIS2_PLACEMENT_3D('',#6468,#5151,#5152); #4281=AXIS2_PLACEMENT_3D('',#6470,#5153,#5154); #4282=AXIS2_PLACEMENT_3D('',#6472,#5155,#5156); #4283=AXIS2_PLACEMENT_3D('',#6474,#5157,#5158); #4284=AXIS2_PLACEMENT_3D('',#6476,#5159,#5160); #4285=AXIS2_PLACEMENT_3D('',#6478,#5161,#5162); #4286=AXIS2_PLACEMENT_3D('',#6480,#5163,#5164); #4287=AXIS2_PLACEMENT_3D('',#6482,#5165,#5166); #4288=AXIS2_PLACEMENT_3D('',#6484,#5167,#5168); #4289=AXIS2_PLACEMENT_3D('',#6486,#5169,#5170); #4290=AXIS2_PLACEMENT_3D('',#6488,#5171,#5172); #4291=AXIS2_PLACEMENT_3D('',#6490,#5173,#5174); #4292=AXIS2_PLACEMENT_3D('',#6492,#5175,#5176); #4293=AXIS2_PLACEMENT_3D('',#6494,#5177,#5178); #4294=AXIS2_PLACEMENT_3D('',#6496,#5179,#5180); #4295=AXIS2_PLACEMENT_3D('',#6498,#5181,#5182); #4296=AXIS2_PLACEMENT_3D('',#6500,#5183,#5184); #4297=AXIS2_PLACEMENT_3D('',#6502,#5185,#5186); #4298=AXIS2_PLACEMENT_3D('',#6504,#5187,#5188); #4299=AXIS2_PLACEMENT_3D('',#6506,#5189,#5190); #4300=AXIS2_PLACEMENT_3D('',#6508,#5191,#5192); #4301=AXIS2_PLACEMENT_3D('',#6510,#5193,#5194); #4302=AXIS2_PLACEMENT_3D('',#6512,#5195,#5196); #4303=AXIS2_PLACEMENT_3D('',#6514,#5197,#5198); #4304=AXIS2_PLACEMENT_3D('',#6516,#5199,#5200); #4305=AXIS2_PLACEMENT_3D('',#6519,#5202,#5203); #4306=AXIS2_PLACEMENT_3D('',#6521,#5205,#5206); #4307=AXIS2_PLACEMENT_3D('',#6522,#5207,#5208); #4308=AXIS2_PLACEMENT_3D('',#6524,#5209,#5210); #4309=AXIS2_PLACEMENT_3D('',#6526,#5211,#5212); #4310=AXIS2_PLACEMENT_3D('',#6528,#5213,#5214); #4311=AXIS2_PLACEMENT_3D('',#6530,#5215,#5216); #4312=AXIS2_PLACEMENT_3D('',#6532,#5217,#5218); #4313=AXIS2_PLACEMENT_3D('',#6534,#5219,#5220); #4314=AXIS2_PLACEMENT_3D('',#6536,#5221,#5222); #4315=AXIS2_PLACEMENT_3D('',#6538,#5223,#5224); #4316=AXIS2_PLACEMENT_3D('',#6540,#5225,#5226); #4317=AXIS2_PLACEMENT_3D('',#6542,#5227,#5228); #4318=AXIS2_PLACEMENT_3D('',#6544,#5229,#5230); #4319=AXIS2_PLACEMENT_3D('',#6546,#5231,#5232); #4320=AXIS2_PLACEMENT_3D('',#6548,#5233,#5234); #4321=AXIS2_PLACEMENT_3D('',#6550,#5235,#5236); #4322=AXIS2_PLACEMENT_3D('',#6552,#5237,#5238); #4323=AXIS2_PLACEMENT_3D('',#6554,#5239,#5240); #4324=AXIS2_PLACEMENT_3D('',#6556,#5241,#5242); #4325=AXIS2_PLACEMENT_3D('',#6558,#5243,#5244); #4326=AXIS2_PLACEMENT_3D('',#6560,#5245,#5246); #4327=AXIS2_PLACEMENT_3D('',#6562,#5247,#5248); #4328=AXIS2_PLACEMENT_3D('',#6564,#5249,#5250); #4329=AXIS2_PLACEMENT_3D('',#6566,#5251,#5252); #4330=AXIS2_PLACEMENT_3D('',#6568,#5253,#5254); #4331=AXIS2_PLACEMENT_3D('',#6570,#5255,#5256); #4332=AXIS2_PLACEMENT_3D('',#6572,#5257,#5258); #4333=AXIS2_PLACEMENT_3D('',#6574,#5259,#5260); #4334=AXIS2_PLACEMENT_3D('',#6576,#5261,#5262); #4335=AXIS2_PLACEMENT_3D('',#6578,#5263,#5264); #4336=AXIS2_PLACEMENT_3D('',#6580,#5265,#5266); #4337=AXIS2_PLACEMENT_3D('',#6582,#5267,#5268); #4338=AXIS2_PLACEMENT_3D('',#6585,#5270,#5271); #4339=AXIS2_PLACEMENT_3D('',#6587,#5273,#5274); #4340=AXIS2_PLACEMENT_3D('',#6588,#5275,#5276); #4341=AXIS2_PLACEMENT_3D('',#6590,#5277,#5278); #4342=AXIS2_PLACEMENT_3D('',#6592,#5279,#5280); #4343=AXIS2_PLACEMENT_3D('',#6594,#5281,#5282); #4344=AXIS2_PLACEMENT_3D('',#6596,#5283,#5284); #4345=AXIS2_PLACEMENT_3D('',#6598,#5285,#5286); #4346=AXIS2_PLACEMENT_3D('',#6600,#5287,#5288); #4347=AXIS2_PLACEMENT_3D('',#6602,#5289,#5290); #4348=AXIS2_PLACEMENT_3D('',#6604,#5291,#5292); #4349=AXIS2_PLACEMENT_3D('',#6606,#5293,#5294); #4350=AXIS2_PLACEMENT_3D('',#6608,#5295,#5296); #4351=AXIS2_PLACEMENT_3D('',#6610,#5297,#5298); #4352=AXIS2_PLACEMENT_3D('',#6612,#5299,#5300); #4353=AXIS2_PLACEMENT_3D('',#6614,#5301,#5302); #4354=AXIS2_PLACEMENT_3D('',#6616,#5303,#5304); #4355=AXIS2_PLACEMENT_3D('',#6618,#5305,#5306); #4356=AXIS2_PLACEMENT_3D('',#6620,#5307,#5308); #4357=AXIS2_PLACEMENT_3D('',#6622,#5309,#5310); #4358=AXIS2_PLACEMENT_3D('',#6624,#5311,#5312); #4359=AXIS2_PLACEMENT_3D('',#6626,#5313,#5314); #4360=AXIS2_PLACEMENT_3D('',#6628,#5315,#5316); #4361=AXIS2_PLACEMENT_3D('',#6630,#5317,#5318); #4362=AXIS2_PLACEMENT_3D('',#6632,#5319,#5320); #4363=AXIS2_PLACEMENT_3D('',#6634,#5321,#5322); #4364=AXIS2_PLACEMENT_3D('',#6636,#5323,#5324); #4365=AXIS2_PLACEMENT_3D('',#6638,#5325,#5326); #4366=AXIS2_PLACEMENT_3D('',#6640,#5327,#5328); #4367=AXIS2_PLACEMENT_3D('',#6642,#5329,#5330); #4368=AXIS2_PLACEMENT_3D('',#6644,#5331,#5332); #4369=AXIS2_PLACEMENT_3D('',#6646,#5333,#5334); #4370=AXIS2_PLACEMENT_3D('',#6648,#5335,#5336); #4371=AXIS2_PLACEMENT_3D('',#6650,#5337,#5338); #4372=AXIS2_PLACEMENT_3D('',#6652,#5339,#5340); #4373=AXIS2_PLACEMENT_3D('',#6654,#5341,#5342); #4374=AXIS2_PLACEMENT_3D('',#6656,#5343,#5344); #4375=AXIS2_PLACEMENT_3D('',#6658,#5345,#5346); #4376=AXIS2_PLACEMENT_3D('',#6660,#5347,#5348); #4377=AXIS2_PLACEMENT_3D('',#6662,#5349,#5350); #4378=AXIS2_PLACEMENT_3D('',#6664,#5351,#5352); #4379=AXIS2_PLACEMENT_3D('',#6666,#5353,#5354); #4380=AXIS2_PLACEMENT_3D('',#6668,#5355,#5356); #4381=AXIS2_PLACEMENT_3D('',#6670,#5357,#5358); #4382=AXIS2_PLACEMENT_3D('',#6672,#5359,#5360); #4383=AXIS2_PLACEMENT_3D('',#6674,#5361,#5362); #4384=AXIS2_PLACEMENT_3D('',#6676,#5363,#5364); #4385=AXIS2_PLACEMENT_3D('',#6678,#5365,#5366); #4386=AXIS2_PLACEMENT_3D('',#6680,#5367,#5368); #4387=AXIS2_PLACEMENT_3D('',#6682,#5369,#5370); #4388=AXIS2_PLACEMENT_3D('',#6684,#5371,#5372); #4389=AXIS2_PLACEMENT_3D('',#6686,#5373,#5374); #4390=AXIS2_PLACEMENT_3D('',#6688,#5375,#5376); #4391=AXIS2_PLACEMENT_3D('',#6690,#5377,#5378); #4392=AXIS2_PLACEMENT_3D('',#6692,#5379,#5380); #4393=AXIS2_PLACEMENT_3D('',#6694,#5381,#5382); #4394=AXIS2_PLACEMENT_3D('',#6696,#5383,#5384); #4395=AXIS2_PLACEMENT_3D('',#6698,#5385,#5386); #4396=AXIS2_PLACEMENT_3D('',#6700,#5387,#5388); #4397=AXIS2_PLACEMENT_3D('',#6702,#5389,#5390); #4398=AXIS2_PLACEMENT_3D('',#6704,#5391,#5392); #4399=AXIS2_PLACEMENT_3D('',#6706,#5393,#5394); #4400=AXIS2_PLACEMENT_3D('',#6708,#5395,#5396); #4401=AXIS2_PLACEMENT_3D('',#6709,#5397,#5398); #4402=AXIS2_PLACEMENT_3D('',#6710,#5399,#5400); #4403=AXIS2_PLACEMENT_3D('',#6711,#5401,#5402); #4404=AXIS2_PLACEMENT_3D('',#6712,#5403,#5404); #4405=AXIS2_PLACEMENT_3D('',#6713,#5405,#5406); #4406=AXIS2_PLACEMENT_3D('',#6714,#5407,#5408); #4407=AXIS2_PLACEMENT_3D('',#6715,#5409,#5410); #4408=AXIS2_PLACEMENT_3D('',#6716,#5411,#5412); #4409=AXIS2_PLACEMENT_3D('',#6717,#5413,#5414); #4410=AXIS2_PLACEMENT_3D('',#6718,#5415,#5416); #4411=AXIS2_PLACEMENT_3D('',#6719,#5417,#5418); #4412=AXIS2_PLACEMENT_3D('',#6720,#5419,#5420); #4413=AXIS2_PLACEMENT_3D('',#6721,#5421,#5422); #4414=AXIS2_PLACEMENT_3D('',#6722,#5423,#5424); #4415=AXIS2_PLACEMENT_3D('',#6723,#5425,#5426); #4416=AXIS2_PLACEMENT_3D('',#6724,#5427,#5428); #4417=AXIS2_PLACEMENT_3D('',#6725,#5429,#5430); #4418=AXIS2_PLACEMENT_3D('',#6726,#5431,#5432); #4419=AXIS2_PLACEMENT_3D('',#6727,#5433,#5434); #4420=AXIS2_PLACEMENT_3D('',#6728,#5435,#5436); #4421=AXIS2_PLACEMENT_3D('',#6729,#5437,#5438); #4422=AXIS2_PLACEMENT_3D('',#6730,#5439,#5440); #4423=AXIS2_PLACEMENT_3D('',#6731,#5441,#5442); #4424=AXIS2_PLACEMENT_3D('',#6732,#5443,#5444); #4425=AXIS2_PLACEMENT_3D('',#6733,#5445,#5446); #4426=AXIS2_PLACEMENT_3D('',#6734,#5447,#5448); #4427=AXIS2_PLACEMENT_3D('',#6735,#5449,#5450); #4428=AXIS2_PLACEMENT_3D('',#6736,#5451,#5452); #4429=AXIS2_PLACEMENT_3D('',#6737,#5453,#5454); #4430=AXIS2_PLACEMENT_3D('',#6738,#5455,#5456); #4431=AXIS2_PLACEMENT_3D('',#6739,#5457,#5458); #4432=AXIS2_PLACEMENT_3D('',#6740,#5459,#5460); #4433=AXIS2_PLACEMENT_3D('',#6741,#5461,#5462); #4434=AXIS2_PLACEMENT_3D('',#6742,#5463,#5464); #4435=AXIS2_PLACEMENT_3D('',#6743,#5465,#5466); #4436=AXIS2_PLACEMENT_3D('',#6744,#5467,#5468); #4437=AXIS2_PLACEMENT_3D('',#6745,#5469,#5470); #4438=AXIS2_PLACEMENT_3D('',#6746,#5471,#5472); #4439=AXIS2_PLACEMENT_3D('',#6747,#5473,#5474); #4440=AXIS2_PLACEMENT_3D('',#6748,#5475,#5476); #4441=AXIS2_PLACEMENT_3D('',#6749,#5477,#5478); #4442=AXIS2_PLACEMENT_3D('',#6750,#5479,#5480); #4443=AXIS2_PLACEMENT_3D('',#6751,#5481,#5482); #4444=AXIS2_PLACEMENT_3D('',#6752,#5483,#5484); #4445=AXIS2_PLACEMENT_3D('',#6753,#5485,#5486); #4446=AXIS2_PLACEMENT_3D('',#6754,#5487,#5488); #4447=AXIS2_PLACEMENT_3D('',#6755,#5489,#5490); #4448=AXIS2_PLACEMENT_3D('',#6756,#5491,#5492); #4449=AXIS2_PLACEMENT_3D('',#6757,#5493,#5494); #4450=AXIS2_PLACEMENT_3D('',#6758,#5495,#5496); #4451=AXIS2_PLACEMENT_3D('',#6759,#5497,#5498); #4452=AXIS2_PLACEMENT_3D('',#6760,#5499,#5500); #4453=AXIS2_PLACEMENT_3D('',#6761,#5501,#5502); #4454=AXIS2_PLACEMENT_3D('',#6762,#5503,#5504); #4455=AXIS2_PLACEMENT_3D('',#6763,#5505,#5506); #4456=AXIS2_PLACEMENT_3D('',#6764,#5507,#5508); #4457=AXIS2_PLACEMENT_3D('',#6765,#5509,#5510); #4458=AXIS2_PLACEMENT_3D('',#6766,#5511,#5512); #4459=AXIS2_PLACEMENT_3D('',#6767,#5513,#5514); #4460=AXIS2_PLACEMENT_3D('',#6768,#5515,#5516); #4461=AXIS2_PLACEMENT_3D('',#6769,#5517,#5518); #4462=AXIS2_PLACEMENT_3D('',#6770,#5519,#5520); #4463=AXIS2_PLACEMENT_3D('',#6771,#5521,#5522); #4464=AXIS2_PLACEMENT_3D('',#6772,#5523,#5524); #4465=AXIS2_PLACEMENT_3D('',#6773,#5525,#5526); #4466=AXIS2_PLACEMENT_3D('',#6774,#5527,#5528); #4467=AXIS2_PLACEMENT_3D('',#6775,#5529,#5530); #4468=AXIS2_PLACEMENT_3D('',#6776,#5531,#5532); #4469=AXIS2_PLACEMENT_3D('',#6777,#5533,#5534); #4470=AXIS2_PLACEMENT_3D('',#6778,#5535,#5536); #4471=AXIS2_PLACEMENT_3D('',#6779,#5537,#5538); #4472=AXIS2_PLACEMENT_3D('',#6780,#5539,#5540); #4473=AXIS2_PLACEMENT_3D('',#6781,#5541,#5542); #4474=AXIS2_PLACEMENT_3D('',#6782,#5543,#5544); #4475=AXIS2_PLACEMENT_3D('',#6783,#5545,#5546); #4476=AXIS2_PLACEMENT_3D('',#6784,#5547,#5548); #4477=AXIS2_PLACEMENT_3D('',#6785,#5549,#5550); #4478=AXIS2_PLACEMENT_3D('',#6786,#5551,#5552); #4479=AXIS2_PLACEMENT_3D('',#6787,#5553,#5554); #4480=AXIS2_PLACEMENT_3D('',#6788,#5555,#5556); #4481=AXIS2_PLACEMENT_3D('',#6789,#5557,#5558); #4482=AXIS2_PLACEMENT_3D('',#6790,#5559,#5560); #4483=AXIS2_PLACEMENT_3D('',#6791,#5561,#5562); #4484=AXIS2_PLACEMENT_3D('',#6792,#5563,#5564); #4485=AXIS2_PLACEMENT_3D('',#6793,#5565,#5566); #4486=AXIS2_PLACEMENT_3D('',#6794,#5567,#5568); #4487=AXIS2_PLACEMENT_3D('',#6795,#5569,#5570); #4488=AXIS2_PLACEMENT_3D('',#6796,#5571,#5572); #4489=AXIS2_PLACEMENT_3D('',#6797,#5573,#5574); #4490=AXIS2_PLACEMENT_3D('',#6798,#5575,#5576); #4491=AXIS2_PLACEMENT_3D('',#6799,#5577,#5578); #4492=AXIS2_PLACEMENT_3D('',#6800,#5579,#5580); #4493=AXIS2_PLACEMENT_3D('',#6801,#5581,#5582); #4494=AXIS2_PLACEMENT_3D('',#6802,#5583,#5584); #4495=AXIS2_PLACEMENT_3D('',#6803,#5585,#5586); #4496=AXIS2_PLACEMENT_3D('',#6804,#5587,#5588); #4497=AXIS2_PLACEMENT_3D('',#6805,#5589,#5590); #4498=AXIS2_PLACEMENT_3D('',#6806,#5591,#5592); #4499=AXIS2_PLACEMENT_3D('',#6807,#5593,#5594); #4500=AXIS2_PLACEMENT_3D('',#6808,#5595,#5596); #4501=AXIS2_PLACEMENT_3D('',#6809,#5597,#5598); #4502=AXIS2_PLACEMENT_3D('',#6810,#5599,#5600); #4503=AXIS2_PLACEMENT_3D('',#6811,#5601,#5602); #4504=AXIS2_PLACEMENT_3D('',#6812,#5603,#5604); #4505=AXIS2_PLACEMENT_3D('',#6813,#5605,#5606); #4506=AXIS2_PLACEMENT_3D('',#6814,#5607,#5608); #4507=AXIS2_PLACEMENT_3D('',#6815,#5609,#5610); #4508=AXIS2_PLACEMENT_3D('',#6816,#5611,#5612); #4509=AXIS2_PLACEMENT_3D('',#6817,#5613,#5614); #4510=AXIS2_PLACEMENT_3D('',#6818,#5615,#5616); #4511=AXIS2_PLACEMENT_3D('',#6819,#5617,#5618); #4512=AXIS2_PLACEMENT_3D('',#6820,#5619,#5620); #4513=AXIS2_PLACEMENT_3D('',#6821,#5621,#5622); #4514=AXIS2_PLACEMENT_3D('',#6822,#5623,#5624); #4515=AXIS2_PLACEMENT_3D('',#6823,#5625,#5626); #4516=AXIS2_PLACEMENT_3D('',#6824,#5627,#5628); #4517=AXIS2_PLACEMENT_3D('',#6825,#5629,#5630); #4518=AXIS2_PLACEMENT_3D('',#6826,#5631,#5632); #4519=AXIS2_PLACEMENT_3D('',#6827,#5633,#5634); #4520=AXIS2_PLACEMENT_3D('',#6828,#5635,#5636); #4521=AXIS2_PLACEMENT_3D('',#6829,#5637,#5638); #4522=AXIS2_PLACEMENT_3D('',#6830,#5639,#5640); #4523=AXIS2_PLACEMENT_3D('',#6831,#5641,#5642); #4524=AXIS2_PLACEMENT_3D('',#6832,#5643,#5644); #4525=AXIS2_PLACEMENT_3D('',#6833,#5645,#5646); #4526=AXIS2_PLACEMENT_3D('',#6834,#5647,#5648); #4527=AXIS2_PLACEMENT_3D('',#6835,#5649,#5650); #4528=AXIS2_PLACEMENT_3D('',#6836,#5651,#5652); #4529=AXIS2_PLACEMENT_3D('',#6837,#5653,#5654); #4530=AXIS2_PLACEMENT_3D('',#6838,#5655,#5656); #4531=AXIS2_PLACEMENT_3D('',#6839,#5657,#5658); #4532=AXIS2_PLACEMENT_3D('',#6840,#5659,#5660); #4533=AXIS2_PLACEMENT_3D('',#6841,#5661,#5662); #4534=AXIS2_PLACEMENT_3D('',#6842,#5663,#5664); #4535=AXIS2_PLACEMENT_3D('',#6843,#5665,#5666); #4536=AXIS2_PLACEMENT_3D('',#6844,#5667,#5668); #4537=AXIS2_PLACEMENT_3D('',#6845,#5669,#5670); #4538=AXIS2_PLACEMENT_3D('',#6846,#5671,#5672); #4539=AXIS2_PLACEMENT_3D('',#6847,#5673,#5674); #4540=AXIS2_PLACEMENT_3D('',#6848,#5675,#5676); #4541=AXIS2_PLACEMENT_3D('',#6849,#5677,#5678); #4542=AXIS2_PLACEMENT_3D('',#6850,#5679,#5680); #4543=AXIS2_PLACEMENT_3D('',#6851,#5681,#5682); #4544=AXIS2_PLACEMENT_3D('',#6852,#5683,#5684); #4545=AXIS2_PLACEMENT_3D('',#6853,#5685,#5686); #4546=AXIS2_PLACEMENT_3D('',#6854,#5687,#5688); #4547=AXIS2_PLACEMENT_3D('',#6855,#5689,#5690); #4548=AXIS2_PLACEMENT_3D('',#6856,#5691,#5692); #4549=AXIS2_PLACEMENT_3D('',#6857,#5693,#5694); #4550=AXIS2_PLACEMENT_3D('',#6858,#5695,#5696); #4551=AXIS2_PLACEMENT_3D('',#6859,#5697,#5698); #4552=AXIS2_PLACEMENT_3D('',#6860,#5699,#5700); #4553=AXIS2_PLACEMENT_3D('',#6861,#5701,#5702); #4554=AXIS2_PLACEMENT_3D('',#6863,#5703,#5704); #4555=AXIS2_PLACEMENT_3D('',#6865,#5705,#5706); #4556=AXIS2_PLACEMENT_3D('',#6866,#5707,#5708); #4557=AXIS2_PLACEMENT_3D('',#6868,#5709,#5710); #4558=AXIS2_PLACEMENT_3D('',#6869,#5711,#5712); #4559=AXIS2_PLACEMENT_3D('',#6871,#5713,#5714); #4560=AXIS2_PLACEMENT_3D('',#6873,#5715,#5716); #4561=AXIS2_PLACEMENT_3D('',#6874,#5717,#5718); #4562=AXIS2_PLACEMENT_3D('',#6876,#5719,#5720); #4563=AXIS2_PLACEMENT_3D('',#6877,#5721,#5722); #4564=AXIS2_PLACEMENT_3D('',#6878,#5723,#5724); #4565=AXIS2_PLACEMENT_3D('',#6879,#5725,#5726); #4566=AXIS2_PLACEMENT_3D('',#6880,#5727,#5728); #4567=AXIS2_PLACEMENT_3D('',#6882,#5729,#5730); #4568=AXIS2_PLACEMENT_3D('',#6884,#5731,#5732); #4569=AXIS2_PLACEMENT_3D('',#6885,#5733,#5734); #4570=AXIS2_PLACEMENT_3D('',#6887,#5735,#5736); #4571=AXIS2_PLACEMENT_3D('',#6888,#5737,#5738); #4572=AXIS2_PLACEMENT_3D('',#6890,#5739,#5740); #4573=AXIS2_PLACEMENT_3D('',#6892,#5741,#5742); #4574=AXIS2_PLACEMENT_3D('',#6893,#5743,#5744); #4575=AXIS2_PLACEMENT_3D('',#6895,#5745,#5746); #4576=AXIS2_PLACEMENT_3D('',#6896,#5747,#5748); #4577=AXIS2_PLACEMENT_3D('',#6897,#5749,#5750); #4578=AXIS2_PLACEMENT_3D('',#6898,#5751,#5752); #4579=AXIS2_PLACEMENT_3D('',#6899,#5753,#5754); #4580=AXIS2_PLACEMENT_3D('',#6900,#5755,#5756); #4581=AXIS2_PLACEMENT_3D('',#6902,#5757,#5758); #4582=AXIS2_PLACEMENT_3D('',#6903,#5759,#5760); #4583=AXIS2_PLACEMENT_3D('',#6905,#5761,#5762); #4584=AXIS2_PLACEMENT_3D('',#6907,#5763,#5764); #4585=AXIS2_PLACEMENT_3D('',#6908,#5765,#5766); #4586=AXIS2_PLACEMENT_3D('',#6910,#5767,#5768); #4587=AXIS2_PLACEMENT_3D('',#6911,#5769,#5770); #4588=AXIS2_PLACEMENT_3D('',#6913,#5771,#5772); #4589=AXIS2_PLACEMENT_3D('',#6914,#5773,#5774); #4590=AXIS2_PLACEMENT_3D('',#6916,#5775,#5776); #4591=AXIS2_PLACEMENT_3D('',#6917,#5777,#5778); #4592=AXIS2_PLACEMENT_3D('',#6919,#5779,#5780); #4593=AXIS2_PLACEMENT_3D('',#6920,#5781,#5782); #4594=AXIS2_PLACEMENT_3D('',#6922,#5783,#5784); #4595=AXIS2_PLACEMENT_3D('',#6923,#5785,#5786); #4596=AXIS2_PLACEMENT_3D('',#6925,#5787,#5788); #4597=AXIS2_PLACEMENT_3D('',#6926,#5789,#5790); #4598=AXIS2_PLACEMENT_3D('',#6927,#5791,#5792); #4599=AXIS2_PLACEMENT_3D('',#6928,#5793,#5794); #4600=AXIS2_PLACEMENT_3D('',#6929,#5795,#5796); #4601=AXIS2_PLACEMENT_3D('',#6930,#5797,#5798); #4602=AXIS2_PLACEMENT_3D('',#6931,#5799,#5800); #4603=AXIS2_PLACEMENT_3D('',#6932,#5801,#5802); #4604=AXIS2_PLACEMENT_3D('',#6933,#5803,#5804); #4605=AXIS2_PLACEMENT_3D('',#6934,#5805,#5806); #4606=AXIS2_PLACEMENT_3D('',#6935,#5807,#5808); #4607=AXIS2_PLACEMENT_3D('',#6936,#5809,#5810); #4608=AXIS2_PLACEMENT_3D('',#6937,#5811,#5812); #4609=AXIS2_PLACEMENT_3D('',#6938,#5813,#5814); #4610=AXIS2_PLACEMENT_3D('',#6939,#5815,#5816); #4611=AXIS2_PLACEMENT_3D('',#6940,#5817,#5818); #4612=AXIS2_PLACEMENT_3D('',#6941,#5819,#5820); #4613=AXIS2_PLACEMENT_3D('',#6942,#5821,#5822); #4614=AXIS2_PLACEMENT_3D('',#6943,#5823,#5824); #4615=AXIS2_PLACEMENT_3D('',#6944,#5825,#5826); #4616=AXIS2_PLACEMENT_3D('',#6945,#5827,#5828); #4617=AXIS2_PLACEMENT_3D('',#6946,#5829,#5830); #4618=AXIS2_PLACEMENT_3D('',#6947,#5831,#5832); #4619=AXIS2_PLACEMENT_3D('',#6948,#5833,#5834); #4620=AXIS2_PLACEMENT_3D('',#6949,#5835,#5836); #4621=AXIS2_PLACEMENT_3D('',#6950,#5837,#5838); #4622=AXIS2_PLACEMENT_3D('',#6951,#5839,#5840); #4623=AXIS2_PLACEMENT_3D('',#6952,#5841,#5842); #4624=AXIS2_PLACEMENT_3D('',#6953,#5843,#5844); #4625=AXIS2_PLACEMENT_3D('',#6954,#5845,#5846); #4626=AXIS2_PLACEMENT_3D('',#6955,#5847,#5848); #4627=AXIS2_PLACEMENT_3D('',#6956,#5849,#5850); #4628=AXIS2_PLACEMENT_3D('',#6957,#5851,#5852); #4629=AXIS2_PLACEMENT_3D('',#6958,#5853,#5854); #4630=AXIS2_PLACEMENT_3D('',#6959,#5855,#5856); #4631=AXIS2_PLACEMENT_3D('',#6960,#5857,#5858); #4632=AXIS2_PLACEMENT_3D('',#6961,#5859,#5860); #4633=AXIS2_PLACEMENT_3D('',#6962,#5861,#5862); #4634=AXIS2_PLACEMENT_3D('',#6963,#5863,#5864); #4635=AXIS2_PLACEMENT_3D('',#6964,#5865,#5866); #4636=AXIS2_PLACEMENT_3D('',#6965,#5867,#5868); #4637=AXIS2_PLACEMENT_3D('',#6966,#5869,#5870); #4638=AXIS2_PLACEMENT_3D('',#6967,#5871,#5872); #4639=AXIS2_PLACEMENT_3D('',#6968,#5873,#5874); #4640=AXIS2_PLACEMENT_3D('',#6969,#5875,#5876); #4641=AXIS2_PLACEMENT_3D('',#6970,#5877,#5878); #4642=AXIS2_PLACEMENT_3D('',#6971,#5879,#5880); #4643=AXIS2_PLACEMENT_3D('',#6972,#5881,#5882); #4644=AXIS2_PLACEMENT_3D('',#6973,#5883,#5884); #4645=AXIS2_PLACEMENT_3D('',#6974,#5885,#5886); #4646=AXIS2_PLACEMENT_3D('',#6975,#5887,#5888); #4647=AXIS2_PLACEMENT_3D('',#6976,#5889,#5890); #4648=AXIS2_PLACEMENT_3D('',#6977,#5891,#5892); #4649=AXIS2_PLACEMENT_3D('',#6978,#5893,#5894); #4650=AXIS2_PLACEMENT_3D('',#6979,#5895,#5896); #4651=AXIS2_PLACEMENT_3D('',#6980,#5897,#5898); #4652=AXIS2_PLACEMENT_3D('',#6981,#5899,#5900); #4653=AXIS2_PLACEMENT_3D('',#6982,#5901,#5902); #4654=AXIS2_PLACEMENT_3D('',#6983,#5903,#5904); #4655=AXIS2_PLACEMENT_3D('',#6984,#5905,#5906); #4656=AXIS2_PLACEMENT_3D('',#6985,#5907,#5908); #4657=AXIS2_PLACEMENT_3D('',#6986,#5909,#5910); #4658=AXIS2_PLACEMENT_3D('',#6987,#5911,#5912); #4659=AXIS2_PLACEMENT_3D('',#6988,#5913,#5914); #4660=AXIS2_PLACEMENT_3D('',#6989,#5915,#5916); #4661=AXIS2_PLACEMENT_3D('',#6990,#5917,#5918); #4662=AXIS2_PLACEMENT_3D('',#6991,#5919,#5920); #4663=AXIS2_PLACEMENT_3D('',#6992,#5921,#5922); #4664=AXIS2_PLACEMENT_3D('',#6993,#5923,#5924); #4665=AXIS2_PLACEMENT_3D('',#6994,#5925,#5926); #4666=AXIS2_PLACEMENT_3D('',#6995,#5927,#5928); #4667=AXIS2_PLACEMENT_3D('',#6996,#5929,#5930); #4668=AXIS2_PLACEMENT_3D('',#6997,#5931,#5932); #4669=AXIS2_PLACEMENT_3D('',#6998,#5933,#5934); #4670=AXIS2_PLACEMENT_3D('',#6999,#5935,#5936); #4671=AXIS2_PLACEMENT_3D('',#7000,#5937,#5938); #4672=AXIS2_PLACEMENT_3D('',#7001,#5939,#5940); #4673=AXIS2_PLACEMENT_3D('',#7002,#5941,#5942); #4674=AXIS2_PLACEMENT_3D('',#7003,#5943,#5944); #4675=AXIS2_PLACEMENT_3D('',#7004,#5945,#5946); #4676=AXIS2_PLACEMENT_3D('',#7005,#5947,#5948); #4677=AXIS2_PLACEMENT_3D('',#7006,#5949,#5950); #4678=AXIS2_PLACEMENT_3D('',#7007,#5951,#5952); #4679=AXIS2_PLACEMENT_3D('',#7008,#5953,#5954); #4680=AXIS2_PLACEMENT_3D('',#7009,#5955,#5956); #4681=AXIS2_PLACEMENT_3D('',#7010,#5957,#5958); #4682=AXIS2_PLACEMENT_3D('',#7011,#5959,#5960); #4683=AXIS2_PLACEMENT_3D('',#7012,#5961,#5962); #4684=AXIS2_PLACEMENT_3D('',#7013,#5963,#5964); #4685=AXIS2_PLACEMENT_3D('',#7014,#5965,#5966); #4686=AXIS2_PLACEMENT_3D('',#7015,#5967,#5968); #4687=AXIS2_PLACEMENT_3D('',#7016,#5969,#5970); #4688=AXIS2_PLACEMENT_3D('',#7017,#5971,#5972); #4689=AXIS2_PLACEMENT_3D('',#7018,#5973,#5974); #4690=AXIS2_PLACEMENT_3D('',#7019,#5975,#5976); #4691=AXIS2_PLACEMENT_3D('',#7020,#5977,#5978); #4692=AXIS2_PLACEMENT_3D('',#7021,#5979,#5980); #4693=AXIS2_PLACEMENT_3D('',#7022,#5981,#5982); #4694=AXIS2_PLACEMENT_3D('',#7023,#5983,#5984); #4695=AXIS2_PLACEMENT_3D('',#7024,#5985,#5986); #4696=AXIS2_PLACEMENT_3D('',#7025,#5987,#5988); #4697=AXIS2_PLACEMENT_3D('',#7026,#5989,#5990); #4698=AXIS2_PLACEMENT_3D('',#7027,#5991,#5992); #4699=AXIS2_PLACEMENT_3D('',#7028,#5993,#5994); #4700=AXIS2_PLACEMENT_3D('',#7029,#5995,#5996); #4701=AXIS2_PLACEMENT_3D('',#7030,#5997,#5998); #4702=AXIS2_PLACEMENT_3D('',#7031,#5999,#6000); #4703=AXIS2_PLACEMENT_3D('',#7032,#6001,#6002); #4704=AXIS2_PLACEMENT_3D('',#7033,#6003,#6004); #4705=AXIS2_PLACEMENT_3D('',#7034,#6005,#6006); #4706=AXIS2_PLACEMENT_3D('',#7035,#6007,#6008); #4707=AXIS2_PLACEMENT_3D('',#7036,#6009,#6010); #4708=AXIS2_PLACEMENT_3D('',#7037,#6011,#6012); #4709=AXIS2_PLACEMENT_3D('',#7038,#6013,#6014); #4710=AXIS2_PLACEMENT_3D('',#7039,#6015,#6016); #4711=AXIS2_PLACEMENT_3D('',#7040,#6017,#6018); #4712=AXIS2_PLACEMENT_3D('',#7041,#6019,#6020); #4713=AXIS2_PLACEMENT_3D('',#7042,#6021,#6022); #4714=AXIS2_PLACEMENT_3D('',#7043,#6023,#6024); #4715=AXIS2_PLACEMENT_3D('',#7044,#6025,#6026); #4716=AXIS2_PLACEMENT_3D('',#7045,#6027,#6028); #4717=DIRECTION('',(0.,0.,1.)); #4718=DIRECTION('',(1.,0.,0.)); #4719=DIRECTION('',(0.,0.,1.)); #4720=DIRECTION('',(0.,1.,0.)); #4721=DIRECTION('',(1.,0.,0.)); #4722=DIRECTION('',(0.,1.,0.)); #4723=DIRECTION('',(1.,0.,0.)); #4724=DIRECTION('',(0.,1.,0.)); #4725=DIRECTION('',(0.,-1.,0.)); #4726=DIRECTION('',(0.,0.,-1.)); #4727=DIRECTION('',(0.,0.,-1.)); #4728=DIRECTION('',(0.,1.,0.)); #4729=DIRECTION('',(0.,0.,1.)); #4730=DIRECTION('',(-1.,0.,0.)); #4731=DIRECTION('',(0.,1.,0.)); #4732=DIRECTION('',(0.,0.,1.)); #4733=DIRECTION('',(0.,0.,1.)); #4734=DIRECTION('',(0.,0.,1.)); #4735=DIRECTION('',(0.,-1.,0.)); #4736=DIRECTION('',(0.,0.,-1.)); #4737=DIRECTION('',(-1.,0.,0.)); #4738=DIRECTION('',(0.,-1.,0.)); #4739=DIRECTION('',(0.,0.,-1.)); #4740=DIRECTION('',(0.,0.,-1.)); #4741=DIRECTION('',(-1.,0.,0.)); #4742=DIRECTION('',(0.,0.,-1.)); #4743=DIRECTION('',(0.,1.,0.)); #4744=DIRECTION('',(0.,1.,0.)); #4745=DIRECTION('',(-1.,0.,0.)); #4746=DIRECTION('',(0.,1.,0.)); #4747=DIRECTION('',(0.,1.,0.)); #4748=DIRECTION('',(0.,0.,1.)); #4749=DIRECTION('',(0.,-1.,0.)); #4750=DIRECTION('',(0.,0.,-1.)); #4751=DIRECTION('',(-1.,0.,0.)); #4752=DIRECTION('',(0.,-1.,0.)); #4753=DIRECTION('',(0.,0.,-1.)); #4754=DIRECTION('',(0.,0.,1.)); #4755=DIRECTION('',(0.,0.,1.)); #4756=DIRECTION('',(0.,1.,0.)); #4757=DIRECTION('',(0.,0.,1.)); #4758=DIRECTION('',(-1.,0.,0.)); #4759=DIRECTION('',(0.,1.,0.)); #4760=DIRECTION('',(0.,0.,1.)); #4761=DIRECTION('',(0.,0.,-1.)); #4762=DIRECTION('',(0.,0.,-1.)); #4763=DIRECTION('',(1.,0.,0.)); #4764=DIRECTION('',(0.,0.,-1.)); #4765=DIRECTION('',(1.,0.,0.)); #4766=DIRECTION('',(0.,0.,-1.)); #4767=DIRECTION('',(1.,0.,0.)); #4768=DIRECTION('',(0.,0.,-1.)); #4769=DIRECTION('',(1.,0.,0.)); #4770=DIRECTION('',(0.,0.,-1.)); #4771=DIRECTION('',(1.,0.,0.)); #4772=DIRECTION('',(0.,0.,-1.)); #4773=DIRECTION('',(1.,0.,0.)); #4774=DIRECTION('',(0.,0.,-1.)); #4775=DIRECTION('',(1.,0.,0.)); #4776=DIRECTION('',(0.,0.,-1.)); #4777=DIRECTION('',(1.,0.,0.)); #4778=DIRECTION('',(0.,0.,-1.)); #4779=DIRECTION('',(1.,0.,0.)); #4780=DIRECTION('',(0.,0.,-1.)); #4781=DIRECTION('',(1.,0.,0.)); #4782=DIRECTION('',(0.,0.,-1.)); #4783=DIRECTION('',(1.,0.,0.)); #4784=DIRECTION('',(0.,0.,-1.)); #4785=DIRECTION('',(1.,0.,0.)); #4786=DIRECTION('',(0.,0.,-1.)); #4787=DIRECTION('',(1.,0.,0.)); #4788=DIRECTION('',(0.,0.,-1.)); #4789=DIRECTION('',(1.,0.,0.)); #4790=DIRECTION('',(0.,0.,-1.)); #4791=DIRECTION('',(1.,0.,0.)); #4792=DIRECTION('',(0.,0.,-1.)); #4793=DIRECTION('',(1.,0.,0.)); #4794=DIRECTION('',(0.,0.,-1.)); #4795=DIRECTION('',(1.,0.,0.)); #4796=DIRECTION('',(0.,0.,-1.)); #4797=DIRECTION('',(1.,0.,0.)); #4798=DIRECTION('',(0.,0.,-1.)); #4799=DIRECTION('',(1.,0.,0.)); #4800=DIRECTION('',(0.,0.,-1.)); #4801=DIRECTION('',(1.,0.,0.)); #4802=DIRECTION('',(0.,0.,-1.)); #4803=DIRECTION('',(1.,0.,0.)); #4804=DIRECTION('',(0.,0.,-1.)); #4805=DIRECTION('',(1.,0.,0.)); #4806=DIRECTION('',(0.,0.,-1.)); #4807=DIRECTION('',(1.,0.,0.)); #4808=DIRECTION('',(0.,0.,-1.)); #4809=DIRECTION('',(1.,0.,0.)); #4810=DIRECTION('',(0.,0.,-1.)); #4811=DIRECTION('',(1.,0.,0.)); #4812=DIRECTION('',(0.,0.,-1.)); #4813=DIRECTION('',(1.,0.,0.)); #4814=DIRECTION('',(0.,0.,-1.)); #4815=DIRECTION('',(1.,0.,0.)); #4816=DIRECTION('',(0.,0.,-1.)); #4817=DIRECTION('',(1.,0.,0.)); #4818=DIRECTION('',(0.,0.,-1.)); #4819=DIRECTION('',(1.,0.,0.)); #4820=DIRECTION('',(0.,0.,-1.)); #4821=DIRECTION('',(1.,0.,0.)); #4822=DIRECTION('',(0.,0.,-1.)); #4823=DIRECTION('',(1.,0.,0.)); #4824=DIRECTION('',(0.,0.,-1.)); #4825=DIRECTION('',(1.,0.,0.)); #4826=DIRECTION('',(0.,0.,-1.)); #4827=DIRECTION('',(1.,0.,0.)); #4828=DIRECTION('',(0.,0.,-1.)); #4829=DIRECTION('',(1.,0.,0.)); #4830=DIRECTION('',(0.,0.,-1.)); #4831=DIRECTION('',(1.,0.,0.)); #4832=DIRECTION('',(0.,0.,-1.)); #4833=DIRECTION('',(1.,0.,0.)); #4834=DIRECTION('',(0.,0.,-1.)); #4835=DIRECTION('',(1.,0.,0.)); #4836=DIRECTION('',(0.,0.,-1.)); #4837=DIRECTION('',(1.,0.,0.)); #4838=DIRECTION('',(0.,0.,-1.)); #4839=DIRECTION('',(1.,0.,0.)); #4840=DIRECTION('',(0.,0.,-1.)); #4841=DIRECTION('',(1.,0.,0.)); #4842=DIRECTION('',(0.,0.,-1.)); #4843=DIRECTION('',(1.,0.,0.)); #4844=DIRECTION('',(0.,0.,-1.)); #4845=DIRECTION('',(1.,0.,0.)); #4846=DIRECTION('',(0.,0.,-1.)); #4847=DIRECTION('',(1.,0.,0.)); #4848=DIRECTION('',(0.,0.,-1.)); #4849=DIRECTION('',(1.,0.,0.)); #4850=DIRECTION('',(0.,0.,-1.)); #4851=DIRECTION('',(1.,0.,0.)); #4852=DIRECTION('',(0.,0.,-1.)); #4853=DIRECTION('',(1.,0.,0.)); #4854=DIRECTION('',(0.,0.,-1.)); #4855=DIRECTION('',(1.,0.,0.)); #4856=DIRECTION('',(0.,0.,-1.)); #4857=DIRECTION('',(1.,0.,0.)); #4858=DIRECTION('',(0.,0.,-1.)); #4859=DIRECTION('',(1.,0.,0.)); #4860=DIRECTION('',(0.,0.,-1.)); #4861=DIRECTION('',(1.,0.,0.)); #4862=DIRECTION('',(0.,0.,-1.)); #4863=DIRECTION('',(1.,0.,0.)); #4864=DIRECTION('',(0.,0.,-1.)); #4865=DIRECTION('',(1.,0.,0.)); #4866=DIRECTION('',(0.,0.,-1.)); #4867=DIRECTION('',(1.,0.,0.)); #4868=DIRECTION('',(0.,0.,-1.)); #4869=DIRECTION('',(1.,0.,0.)); #4870=DIRECTION('',(0.,0.,-1.)); #4871=DIRECTION('',(1.,0.,0.)); #4872=DIRECTION('',(0.,0.,-1.)); #4873=DIRECTION('',(1.,0.,0.)); #4874=DIRECTION('',(0.,0.,-1.)); #4875=DIRECTION('',(1.,0.,0.)); #4876=DIRECTION('',(0.,0.,-1.)); #4877=DIRECTION('',(1.,0.,0.)); #4878=DIRECTION('',(0.,0.,-1.)); #4879=DIRECTION('',(1.,0.,0.)); #4880=DIRECTION('',(0.,0.,-1.)); #4881=DIRECTION('',(1.,0.,0.)); #4882=DIRECTION('',(0.,0.,-1.)); #4883=DIRECTION('',(1.,0.,0.)); #4884=DIRECTION('',(0.,0.,-1.)); #4885=DIRECTION('',(0.,1.,0.)); #4886=DIRECTION('',(0.,1.,0.)); #4887=DIRECTION('',(0.,0.,1.)); #4888=DIRECTION('',(0.,1.,0.)); #4889=DIRECTION('',(0.,0.,-1.)); #4890=DIRECTION('',(-1.,0.,0.)); #4891=DIRECTION('',(0.,0.,-1.)); #4892=DIRECTION('',(-1.,0.,0.)); #4893=DIRECTION('',(0.,0.,-1.)); #4894=DIRECTION('',(-1.,0.,0.)); #4895=DIRECTION('',(0.,0.,-1.)); #4896=DIRECTION('',(-1.,0.,0.)); #4897=DIRECTION('',(0.,0.,-1.)); #4898=DIRECTION('',(-1.,0.,0.)); #4899=DIRECTION('',(0.,0.,-1.)); #4900=DIRECTION('',(-1.,0.,0.)); #4901=DIRECTION('',(0.,0.,-1.)); #4902=DIRECTION('',(-1.,0.,0.)); #4903=DIRECTION('',(0.,0.,-1.)); #4904=DIRECTION('',(-1.,0.,0.)); #4905=DIRECTION('',(0.,0.,-1.)); #4906=DIRECTION('',(-1.,0.,0.)); #4907=DIRECTION('',(0.,0.,-1.)); #4908=DIRECTION('',(-1.,0.,0.)); #4909=DIRECTION('',(0.,0.,-1.)); #4910=DIRECTION('',(-1.,0.,0.)); #4911=DIRECTION('',(0.,0.,-1.)); #4912=DIRECTION('',(-1.,0.,0.)); #4913=DIRECTION('',(0.,0.,-1.)); #4914=DIRECTION('',(-1.,0.,0.)); #4915=DIRECTION('',(0.,0.,-1.)); #4916=DIRECTION('',(-1.,0.,0.)); #4917=DIRECTION('',(0.,0.,-1.)); #4918=DIRECTION('',(-1.,0.,0.)); #4919=DIRECTION('',(0.,0.,-1.)); #4920=DIRECTION('',(-1.,0.,0.)); #4921=DIRECTION('',(0.,0.,-1.)); #4922=DIRECTION('',(-1.,0.,0.)); #4923=DIRECTION('',(0.,0.,-1.)); #4924=DIRECTION('',(-1.,0.,0.)); #4925=DIRECTION('',(0.,0.,-1.)); #4926=DIRECTION('',(-1.,0.,0.)); #4927=DIRECTION('',(0.,0.,-1.)); #4928=DIRECTION('',(-1.,0.,0.)); #4929=DIRECTION('',(0.,0.,-1.)); #4930=DIRECTION('',(-1.,0.,0.)); #4931=DIRECTION('',(0.,0.,-1.)); #4932=DIRECTION('',(-1.,0.,0.)); #4933=DIRECTION('',(0.,0.,-1.)); #4934=DIRECTION('',(-1.,0.,0.)); #4935=DIRECTION('',(0.,0.,-1.)); #4936=DIRECTION('',(-1.,0.,0.)); #4937=DIRECTION('',(0.,0.,-1.)); #4938=DIRECTION('',(-1.,0.,0.)); #4939=DIRECTION('',(0.,0.,-1.)); #4940=DIRECTION('',(-1.,0.,0.)); #4941=DIRECTION('',(0.,0.,-1.)); #4942=DIRECTION('',(-1.,0.,0.)); #4943=DIRECTION('',(0.,0.,-1.)); #4944=DIRECTION('',(-1.,0.,0.)); #4945=DIRECTION('',(0.,0.,-1.)); #4946=DIRECTION('',(-1.,0.,0.)); #4947=DIRECTION('',(0.,0.,-1.)); #4948=DIRECTION('',(-1.,0.,0.)); #4949=DIRECTION('',(0.,0.,-1.)); #4950=DIRECTION('',(-1.,0.,0.)); #4951=DIRECTION('',(0.,0.,-1.)); #4952=DIRECTION('',(-1.,0.,0.)); #4953=DIRECTION('',(0.,1.,0.)); #4954=DIRECTION('',(0.,1.,0.)); #4955=DIRECTION('',(0.,0.,1.)); #4956=DIRECTION('',(0.,1.,0.)); #4957=DIRECTION('',(-1.,0.,0.)); #4958=DIRECTION('',(0.,0.,1.)); #4959=DIRECTION('',(-1.,0.,0.)); #4960=DIRECTION('',(0.,0.,1.)); #4961=DIRECTION('',(-1.,0.,0.)); #4962=DIRECTION('',(0.,0.,1.)); #4963=DIRECTION('',(-1.,0.,0.)); #4964=DIRECTION('',(0.,0.,1.)); #4965=DIRECTION('',(-1.,0.,0.)); #4966=DIRECTION('',(0.,0.,1.)); #4967=DIRECTION('',(-1.,0.,0.)); #4968=DIRECTION('',(0.,0.,1.)); #4969=DIRECTION('',(-1.,0.,0.)); #4970=DIRECTION('',(0.,0.,1.)); #4971=DIRECTION('',(-1.,0.,0.)); #4972=DIRECTION('',(0.,0.,1.)); #4973=DIRECTION('',(-1.,0.,0.)); #4974=DIRECTION('',(0.,0.,1.)); #4975=DIRECTION('',(-1.,0.,0.)); #4976=DIRECTION('',(0.,0.,1.)); #4977=DIRECTION('',(-1.,0.,0.)); #4978=DIRECTION('',(0.,0.,1.)); #4979=DIRECTION('',(-1.,0.,0.)); #4980=DIRECTION('',(0.,0.,1.)); #4981=DIRECTION('',(-1.,0.,0.)); #4982=DIRECTION('',(0.,0.,1.)); #4983=DIRECTION('',(-1.,0.,0.)); #4984=DIRECTION('',(0.,0.,1.)); #4985=DIRECTION('',(-1.,0.,0.)); #4986=DIRECTION('',(0.,0.,1.)); #4987=DIRECTION('',(-1.,0.,0.)); #4988=DIRECTION('',(0.,0.,1.)); #4989=DIRECTION('',(-1.,0.,0.)); #4990=DIRECTION('',(0.,0.,1.)); #4991=DIRECTION('',(-1.,0.,0.)); #4992=DIRECTION('',(0.,0.,1.)); #4993=DIRECTION('',(-1.,0.,0.)); #4994=DIRECTION('',(0.,0.,1.)); #4995=DIRECTION('',(-1.,0.,0.)); #4996=DIRECTION('',(0.,0.,1.)); #4997=DIRECTION('',(-1.,0.,0.)); #4998=DIRECTION('',(0.,0.,1.)); #4999=DIRECTION('',(-1.,0.,0.)); #5000=DIRECTION('',(0.,0.,1.)); #5001=DIRECTION('',(-1.,0.,0.)); #5002=DIRECTION('',(0.,0.,1.)); #5003=DIRECTION('',(-1.,0.,0.)); #5004=DIRECTION('',(0.,0.,1.)); #5005=DIRECTION('',(-1.,0.,0.)); #5006=DIRECTION('',(0.,0.,1.)); #5007=DIRECTION('',(-1.,0.,0.)); #5008=DIRECTION('',(0.,0.,1.)); #5009=DIRECTION('',(-1.,0.,0.)); #5010=DIRECTION('',(0.,0.,1.)); #5011=DIRECTION('',(-1.,0.,0.)); #5012=DIRECTION('',(0.,0.,1.)); #5013=DIRECTION('',(-1.,0.,0.)); #5014=DIRECTION('',(0.,0.,1.)); #5015=DIRECTION('',(-1.,0.,0.)); #5016=DIRECTION('',(0.,0.,1.)); #5017=DIRECTION('',(-1.,0.,0.)); #5018=DIRECTION('',(0.,0.,1.)); #5019=DIRECTION('',(-1.,0.,0.)); #5020=DIRECTION('',(0.,0.,1.)); #5021=DIRECTION('',(-1.,0.,0.)); #5022=DIRECTION('',(0.,0.,1.)); #5023=DIRECTION('',(-1.,0.,0.)); #5024=DIRECTION('',(0.,0.,1.)); #5025=DIRECTION('',(-1.,0.,0.)); #5026=DIRECTION('',(0.,0.,1.)); #5027=DIRECTION('',(-1.,0.,0.)); #5028=DIRECTION('',(0.,0.,1.)); #5029=DIRECTION('',(-1.,0.,0.)); #5030=DIRECTION('',(0.,0.,1.)); #5031=DIRECTION('',(-1.,0.,0.)); #5032=DIRECTION('',(0.,0.,1.)); #5033=DIRECTION('',(-1.,0.,0.)); #5034=DIRECTION('',(0.,0.,1.)); #5035=DIRECTION('',(-1.,0.,0.)); #5036=DIRECTION('',(0.,0.,1.)); #5037=DIRECTION('',(-1.,0.,0.)); #5038=DIRECTION('',(0.,0.,1.)); #5039=DIRECTION('',(-1.,0.,0.)); #5040=DIRECTION('',(0.,0.,1.)); #5041=DIRECTION('',(-1.,0.,0.)); #5042=DIRECTION('',(0.,0.,1.)); #5043=DIRECTION('',(-1.,0.,0.)); #5044=DIRECTION('',(0.,0.,1.)); #5045=DIRECTION('',(-1.,0.,0.)); #5046=DIRECTION('',(0.,0.,1.)); #5047=DIRECTION('',(-1.,0.,0.)); #5048=DIRECTION('',(0.,0.,1.)); #5049=DIRECTION('',(-1.,0.,0.)); #5050=DIRECTION('',(0.,0.,1.)); #5051=DIRECTION('',(-1.,0.,0.)); #5052=DIRECTION('',(0.,0.,1.)); #5053=DIRECTION('',(-1.,0.,0.)); #5054=DIRECTION('',(0.,0.,1.)); #5055=DIRECTION('',(-1.,0.,0.)); #5056=DIRECTION('',(0.,0.,1.)); #5057=DIRECTION('',(-1.,0.,0.)); #5058=DIRECTION('',(0.,0.,1.)); #5059=DIRECTION('',(-1.,0.,0.)); #5060=DIRECTION('',(0.,0.,1.)); #5061=DIRECTION('',(-1.,0.,0.)); #5062=DIRECTION('',(0.,0.,1.)); #5063=DIRECTION('',(-1.,0.,0.)); #5064=DIRECTION('',(0.,0.,1.)); #5065=DIRECTION('',(-1.,0.,0.)); #5066=DIRECTION('',(0.,0.,1.)); #5067=DIRECTION('',(-1.,0.,0.)); #5068=DIRECTION('',(0.,0.,1.)); #5069=DIRECTION('',(-1.,0.,0.)); #5070=DIRECTION('',(0.,0.,1.)); #5071=DIRECTION('',(-1.,0.,0.)); #5072=DIRECTION('',(0.,0.,1.)); #5073=DIRECTION('',(-1.,0.,0.)); #5074=DIRECTION('',(0.,0.,1.)); #5075=DIRECTION('',(-1.,0.,0.)); #5076=DIRECTION('',(0.,0.,1.)); #5077=DIRECTION('',(-1.,0.,0.)); #5078=DIRECTION('',(0.,0.,1.)); #5079=DIRECTION('',(1.,0.,0.)); #5080=DIRECTION('',(0.,0.,-1.)); #5081=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5082=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5083=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5084=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5085=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5086=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5087=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5088=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5089=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5090=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5091=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5092=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5093=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5094=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5095=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5096=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5097=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5098=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5099=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5100=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5101=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5102=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5103=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5104=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5105=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5106=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5107=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5108=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5109=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5110=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5111=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5112=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5113=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5114=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5115=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5116=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5117=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5118=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5119=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5120=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5121=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5122=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5123=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5124=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5125=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5126=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5127=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5128=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5129=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5130=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5131=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5132=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5133=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5134=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5135=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5136=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5137=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5138=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5139=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5140=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5141=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5142=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5143=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5144=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5145=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5146=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5147=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5148=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5149=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5150=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5151=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5152=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5153=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5154=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5155=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5156=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5157=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5158=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5159=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5160=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5161=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5162=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5163=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5164=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5165=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5166=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5167=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5168=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5169=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5170=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5171=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5172=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5173=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5174=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5175=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5176=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5177=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5178=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5179=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5180=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5181=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5182=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5183=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5184=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5185=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5186=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5187=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5188=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5189=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5190=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5191=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5192=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5193=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5194=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5195=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5196=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5197=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5198=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5199=DIRECTION('',(-1.,0.,0.)); #5200=DIRECTION('',(0.,0.,-1.)); #5201=DIRECTION('',(0.,1.,0.)); #5202=DIRECTION('',(0.,1.,0.)); #5203=DIRECTION('',(0.,0.,1.)); #5204=DIRECTION('',(0.,1.,0.)); #5205=DIRECTION('',(0.,0.,-1.)); #5206=DIRECTION('',(-1.,0.,0.)); #5207=DIRECTION('',(0.,0.,1.)); #5208=DIRECTION('',(0.,1.,0.)); #5209=DIRECTION('',(7.63278329429795E-17,0.,1.)); #5210=DIRECTION('',(-1.,0.,7.63278329429795E-17)); #5211=DIRECTION('',(7.63278329429795E-17,0.,1.)); #5212=DIRECTION('',(-1.,0.,7.63278329429795E-17)); #5213=DIRECTION('',(7.63278329429795E-17,0.,1.)); #5214=DIRECTION('',(-1.,0.,7.63278329429795E-17)); #5215=DIRECTION('',(7.63278329429795E-17,0.,1.)); #5216=DIRECTION('',(-1.,0.,7.63278329429795E-17)); #5217=DIRECTION('',(7.63278329429795E-17,0.,1.)); #5218=DIRECTION('',(-1.,0.,7.63278329429795E-17)); #5219=DIRECTION('',(7.63278329429795E-17,0.,1.)); #5220=DIRECTION('',(-1.,0.,7.63278329429795E-17)); #5221=DIRECTION('',(7.63278329429795E-17,0.,1.)); #5222=DIRECTION('',(-1.,0.,7.63278329429795E-17)); #5223=DIRECTION('',(7.63278329429795E-17,0.,1.)); #5224=DIRECTION('',(-1.,0.,7.63278329429795E-17)); #5225=DIRECTION('',(7.63278329429795E-17,0.,1.)); #5226=DIRECTION('',(-1.,0.,7.63278329429795E-17)); #5227=DIRECTION('',(7.63278329429795E-17,0.,1.)); #5228=DIRECTION('',(-1.,0.,7.63278329429795E-17)); #5229=DIRECTION('',(7.63278329429795E-17,0.,1.)); #5230=DIRECTION('',(-1.,0.,7.63278329429795E-17)); #5231=DIRECTION('',(7.63278329429795E-17,0.,1.)); #5232=DIRECTION('',(-1.,0.,7.63278329429795E-17)); #5233=DIRECTION('',(7.63278329429795E-17,0.,1.)); #5234=DIRECTION('',(-1.,0.,7.63278329429795E-17)); #5235=DIRECTION('',(7.63278329429795E-17,0.,1.)); #5236=DIRECTION('',(-1.,0.,7.63278329429795E-17)); #5237=DIRECTION('',(7.63278329429795E-17,0.,1.)); #5238=DIRECTION('',(-1.,0.,7.63278329429795E-17)); #5239=DIRECTION('',(7.63278329429795E-17,0.,1.)); #5240=DIRECTION('',(-1.,0.,7.63278329429795E-17)); #5241=DIRECTION('',(7.63278329429795E-17,0.,1.)); #5242=DIRECTION('',(-1.,0.,7.63278329429795E-17)); #5243=DIRECTION('',(7.63278329429795E-17,0.,1.)); #5244=DIRECTION('',(-1.,0.,7.63278329429795E-17)); #5245=DIRECTION('',(7.63278329429795E-17,0.,1.)); #5246=DIRECTION('',(-1.,0.,7.63278329429795E-17)); #5247=DIRECTION('',(7.63278329429795E-17,0.,1.)); #5248=DIRECTION('',(-1.,0.,7.63278329429795E-17)); #5249=DIRECTION('',(7.63278329429795E-17,0.,1.)); #5250=DIRECTION('',(-1.,0.,7.63278329429795E-17)); #5251=DIRECTION('',(7.63278329429795E-17,0.,1.)); #5252=DIRECTION('',(-1.,0.,7.63278329429795E-17)); #5253=DIRECTION('',(7.63278329429795E-17,0.,1.)); #5254=DIRECTION('',(-1.,0.,7.63278329429795E-17)); #5255=DIRECTION('',(7.63278329429795E-17,0.,1.)); #5256=DIRECTION('',(-1.,0.,7.63278329429795E-17)); #5257=DIRECTION('',(7.63278329429795E-17,0.,1.)); #5258=DIRECTION('',(-1.,0.,7.63278329429795E-17)); #5259=DIRECTION('',(7.63278329429795E-17,0.,1.)); #5260=DIRECTION('',(-1.,0.,7.63278329429795E-17)); #5261=DIRECTION('',(7.63278329429795E-17,0.,1.)); #5262=DIRECTION('',(-1.,0.,7.63278329429795E-17)); #5263=DIRECTION('',(7.63278329429795E-17,0.,1.)); #5264=DIRECTION('',(-1.,0.,7.63278329429795E-17)); #5265=DIRECTION('',(0.,0.,1.)); #5266=DIRECTION('',(0.,1.,0.)); #5267=DIRECTION('',(0.,0.,1.)); #5268=DIRECTION('',(0.,1.,0.)); #5269=DIRECTION('',(0.,1.,0.)); #5270=DIRECTION('',(0.,1.,0.)); #5271=DIRECTION('',(0.,0.,1.)); #5272=DIRECTION('',(0.,1.,0.)); #5273=DIRECTION('',(-1.,0.,0.)); #5274=DIRECTION('',(0.,0.,1.)); #5275=DIRECTION('',(-1.,0.,0.)); #5276=DIRECTION('',(0.,0.,1.)); #5277=DIRECTION('',(-1.,0.,0.)); #5278=DIRECTION('',(0.,0.,1.)); #5279=DIRECTION('',(-1.,0.,0.)); #5280=DIRECTION('',(0.,0.,1.)); #5281=DIRECTION('',(-1.,0.,0.)); #5282=DIRECTION('',(0.,0.,1.)); #5283=DIRECTION('',(-1.,0.,0.)); #5284=DIRECTION('',(0.,0.,1.)); #5285=DIRECTION('',(-1.,0.,0.)); #5286=DIRECTION('',(0.,0.,1.)); #5287=DIRECTION('',(-1.,0.,0.)); #5288=DIRECTION('',(0.,0.,1.)); #5289=DIRECTION('',(-1.,0.,0.)); #5290=DIRECTION('',(0.,0.,1.)); #5291=DIRECTION('',(-1.,0.,0.)); #5292=DIRECTION('',(0.,0.,1.)); #5293=DIRECTION('',(-1.,0.,0.)); #5294=DIRECTION('',(0.,0.,1.)); #5295=DIRECTION('',(-1.,0.,0.)); #5296=DIRECTION('',(0.,0.,1.)); #5297=DIRECTION('',(-1.,0.,0.)); #5298=DIRECTION('',(0.,0.,1.)); #5299=DIRECTION('',(-1.,0.,0.)); #5300=DIRECTION('',(0.,0.,1.)); #5301=DIRECTION('',(-1.,0.,0.)); #5302=DIRECTION('',(0.,0.,1.)); #5303=DIRECTION('',(-1.,0.,0.)); #5304=DIRECTION('',(0.,0.,1.)); #5305=DIRECTION('',(-1.,0.,0.)); #5306=DIRECTION('',(0.,0.,1.)); #5307=DIRECTION('',(-1.,0.,0.)); #5308=DIRECTION('',(0.,0.,1.)); #5309=DIRECTION('',(-1.,0.,0.)); #5310=DIRECTION('',(0.,0.,1.)); #5311=DIRECTION('',(-1.,0.,0.)); #5312=DIRECTION('',(0.,0.,1.)); #5313=DIRECTION('',(-1.,0.,0.)); #5314=DIRECTION('',(0.,0.,1.)); #5315=DIRECTION('',(-1.,0.,0.)); #5316=DIRECTION('',(0.,0.,1.)); #5317=DIRECTION('',(-1.,0.,0.)); #5318=DIRECTION('',(0.,0.,1.)); #5319=DIRECTION('',(-1.,0.,0.)); #5320=DIRECTION('',(0.,0.,1.)); #5321=DIRECTION('',(-1.,0.,0.)); #5322=DIRECTION('',(0.,0.,1.)); #5323=DIRECTION('',(-1.,0.,0.)); #5324=DIRECTION('',(0.,0.,1.)); #5325=DIRECTION('',(-1.,0.,0.)); #5326=DIRECTION('',(0.,0.,1.)); #5327=DIRECTION('',(-1.,0.,0.)); #5328=DIRECTION('',(0.,0.,1.)); #5329=DIRECTION('',(-1.,0.,0.)); #5330=DIRECTION('',(0.,0.,1.)); #5331=DIRECTION('',(-1.,0.,0.)); #5332=DIRECTION('',(0.,0.,1.)); #5333=DIRECTION('',(-1.,0.,0.)); #5334=DIRECTION('',(0.,0.,1.)); #5335=DIRECTION('',(-1.,0.,0.)); #5336=DIRECTION('',(0.,0.,1.)); #5337=DIRECTION('',(-1.,0.,0.)); #5338=DIRECTION('',(0.,0.,1.)); #5339=DIRECTION('',(-1.,0.,0.)); #5340=DIRECTION('',(0.,0.,1.)); #5341=DIRECTION('',(-1.,0.,0.)); #5342=DIRECTION('',(0.,0.,1.)); #5343=DIRECTION('',(-1.,0.,0.)); #5344=DIRECTION('',(0.,0.,1.)); #5345=DIRECTION('',(-1.,0.,0.)); #5346=DIRECTION('',(0.,0.,1.)); #5347=DIRECTION('',(-1.,0.,0.)); #5348=DIRECTION('',(0.,0.,1.)); #5349=DIRECTION('',(-1.,0.,0.)); #5350=DIRECTION('',(0.,0.,1.)); #5351=DIRECTION('',(-1.,0.,0.)); #5352=DIRECTION('',(0.,0.,1.)); #5353=DIRECTION('',(-1.,0.,0.)); #5354=DIRECTION('',(0.,0.,1.)); #5355=DIRECTION('',(-1.,0.,0.)); #5356=DIRECTION('',(0.,0.,1.)); #5357=DIRECTION('',(-1.,0.,0.)); #5358=DIRECTION('',(0.,0.,1.)); #5359=DIRECTION('',(-1.,0.,0.)); #5360=DIRECTION('',(0.,0.,1.)); #5361=DIRECTION('',(-1.,0.,0.)); #5362=DIRECTION('',(0.,0.,1.)); #5363=DIRECTION('',(-1.,0.,0.)); #5364=DIRECTION('',(0.,0.,1.)); #5365=DIRECTION('',(-1.,0.,0.)); #5366=DIRECTION('',(0.,0.,1.)); #5367=DIRECTION('',(-1.,0.,0.)); #5368=DIRECTION('',(0.,0.,1.)); #5369=DIRECTION('',(-1.,0.,0.)); #5370=DIRECTION('',(0.,0.,1.)); #5371=DIRECTION('',(-1.,0.,0.)); #5372=DIRECTION('',(0.,0.,1.)); #5373=DIRECTION('',(-1.,0.,0.)); #5374=DIRECTION('',(0.,0.,1.)); #5375=DIRECTION('',(-1.,0.,0.)); #5376=DIRECTION('',(0.,0.,1.)); #5377=DIRECTION('',(-1.,0.,0.)); #5378=DIRECTION('',(0.,0.,1.)); #5379=DIRECTION('',(-1.,0.,0.)); #5380=DIRECTION('',(0.,0.,1.)); #5381=DIRECTION('',(-1.,0.,0.)); #5382=DIRECTION('',(0.,0.,1.)); #5383=DIRECTION('',(-1.,0.,0.)); #5384=DIRECTION('',(0.,0.,1.)); #5385=DIRECTION('',(-1.,0.,0.)); #5386=DIRECTION('',(0.,0.,1.)); #5387=DIRECTION('',(-1.,0.,0.)); #5388=DIRECTION('',(0.,0.,1.)); #5389=DIRECTION('',(-1.,0.,0.)); #5390=DIRECTION('',(0.,0.,1.)); #5391=DIRECTION('',(-1.,0.,0.)); #5392=DIRECTION('',(0.,0.,1.)); #5393=DIRECTION('',(-1.,0.,0.)); #5394=DIRECTION('',(0.,0.,1.)); #5395=DIRECTION('',(-1.,0.,0.)); #5396=DIRECTION('',(0.,0.,-1.)); #5397=DIRECTION('',(-1.,0.,0.)); #5398=DIRECTION('',(0.,0.,-1.)); #5399=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5400=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5401=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5402=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5403=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5404=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5405=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5406=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5407=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5408=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5409=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5410=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5411=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5412=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5413=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5414=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5415=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5416=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5417=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5418=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5419=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5420=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5421=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5422=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5423=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5424=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5425=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5426=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5427=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5428=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5429=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5430=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5431=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5432=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5433=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5434=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5435=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5436=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5437=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5438=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5439=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5440=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5441=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5442=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5443=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5444=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5445=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5446=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5447=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5448=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5449=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5450=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5451=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5452=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5453=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5454=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5455=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5456=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5457=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5458=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5459=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5460=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5461=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5462=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5463=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5464=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5465=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5466=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5467=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5468=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5469=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5470=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5471=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5472=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5473=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5474=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5475=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5476=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5477=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5478=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5479=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5480=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5481=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5482=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5483=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5484=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5485=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5486=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5487=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5488=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5489=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5490=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5491=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5492=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5493=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5494=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5495=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5496=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5497=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5498=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5499=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5500=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5501=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5502=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5503=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5504=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5505=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5506=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5507=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5508=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5509=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5510=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5511=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5512=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5513=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5514=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5515=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5516=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5517=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5518=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5519=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5520=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5521=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5522=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5523=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5524=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5525=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5526=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5527=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5528=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5529=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5530=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5531=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5532=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5533=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5534=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5535=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5536=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5537=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5538=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5539=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5540=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5541=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5542=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5543=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5544=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5545=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5546=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5547=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5548=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5549=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5550=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5551=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5552=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5553=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5554=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5555=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5556=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5557=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5558=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5559=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5560=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5561=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5562=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5563=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5564=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5565=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5566=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5567=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5568=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5569=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5570=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5571=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5572=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5573=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5574=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5575=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5576=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5577=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5578=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5579=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5580=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5581=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5582=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5583=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5584=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5585=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5586=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5587=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5588=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5589=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5590=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5591=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5592=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5593=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5594=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5595=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5596=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5597=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5598=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5599=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5600=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5601=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5602=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5603=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5604=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5605=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5606=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5607=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5608=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5609=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5610=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5611=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5612=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5613=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5614=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5615=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5616=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5617=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5618=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5619=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5620=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5621=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5622=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5623=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5624=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5625=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5626=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5627=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5628=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5629=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5630=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5631=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5632=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5633=DIRECTION('',(-1.,0.,-3.91353616180368E-15)); #5634=DIRECTION('',(3.91353616180368E-15,0.,-1.)); #5635=DIRECTION('',(0.,0.,-1.)); #5636=DIRECTION('',(-1.,0.,0.)); #5637=DIRECTION('',(0.,0.,1.)); #5638=DIRECTION('',(0.,1.,0.)); #5639=DIRECTION('',(7.63278329429795E-17,0.,1.)); #5640=DIRECTION('',(-1.,0.,7.63278329429795E-17)); #5641=DIRECTION('',(7.63278329429795E-17,0.,1.)); #5642=DIRECTION('',(-1.,0.,7.63278329429795E-17)); #5643=DIRECTION('',(7.63278329429795E-17,0.,1.)); #5644=DIRECTION('',(-1.,0.,7.63278329429795E-17)); #5645=DIRECTION('',(7.63278329429795E-17,0.,1.)); #5646=DIRECTION('',(-1.,0.,7.63278329429795E-17)); #5647=DIRECTION('',(7.63278329429795E-17,0.,1.)); #5648=DIRECTION('',(-1.,0.,7.63278329429795E-17)); #5649=DIRECTION('',(7.63278329429795E-17,0.,1.)); #5650=DIRECTION('',(-1.,0.,7.63278329429795E-17)); #5651=DIRECTION('',(7.63278329429795E-17,0.,1.)); #5652=DIRECTION('',(-1.,0.,7.63278329429795E-17)); #5653=DIRECTION('',(7.63278329429795E-17,0.,1.)); #5654=DIRECTION('',(-1.,0.,7.63278329429795E-17)); #5655=DIRECTION('',(7.63278329429795E-17,0.,1.)); #5656=DIRECTION('',(-1.,0.,7.63278329429795E-17)); #5657=DIRECTION('',(7.63278329429795E-17,0.,1.)); #5658=DIRECTION('',(-1.,0.,7.63278329429795E-17)); #5659=DIRECTION('',(7.63278329429795E-17,0.,1.)); #5660=DIRECTION('',(-1.,0.,7.63278329429795E-17)); #5661=DIRECTION('',(7.63278329429795E-17,0.,1.)); #5662=DIRECTION('',(-1.,0.,7.63278329429795E-17)); #5663=DIRECTION('',(7.63278329429795E-17,0.,1.)); #5664=DIRECTION('',(-1.,0.,7.63278329429795E-17)); #5665=DIRECTION('',(7.63278329429795E-17,0.,1.)); #5666=DIRECTION('',(-1.,0.,7.63278329429795E-17)); #5667=DIRECTION('',(7.63278329429795E-17,0.,1.)); #5668=DIRECTION('',(-1.,0.,7.63278329429795E-17)); #5669=DIRECTION('',(7.63278329429795E-17,0.,1.)); #5670=DIRECTION('',(-1.,0.,7.63278329429795E-17)); #5671=DIRECTION('',(7.63278329429795E-17,0.,1.)); #5672=DIRECTION('',(-1.,0.,7.63278329429795E-17)); #5673=DIRECTION('',(7.63278329429795E-17,0.,1.)); #5674=DIRECTION('',(-1.,0.,7.63278329429795E-17)); #5675=DIRECTION('',(7.63278329429795E-17,0.,1.)); #5676=DIRECTION('',(-1.,0.,7.63278329429795E-17)); #5677=DIRECTION('',(7.63278329429795E-17,0.,1.)); #5678=DIRECTION('',(-1.,0.,7.63278329429795E-17)); #5679=DIRECTION('',(7.63278329429795E-17,0.,1.)); #5680=DIRECTION('',(-1.,0.,7.63278329429795E-17)); #5681=DIRECTION('',(7.63278329429795E-17,0.,1.)); #5682=DIRECTION('',(-1.,0.,7.63278329429795E-17)); #5683=DIRECTION('',(7.63278329429795E-17,0.,1.)); #5684=DIRECTION('',(-1.,0.,7.63278329429795E-17)); #5685=DIRECTION('',(7.63278329429795E-17,0.,1.)); #5686=DIRECTION('',(-1.,0.,7.63278329429795E-17)); #5687=DIRECTION('',(7.63278329429795E-17,0.,1.)); #5688=DIRECTION('',(-1.,0.,7.63278329429795E-17)); #5689=DIRECTION('',(7.63278329429795E-17,0.,1.)); #5690=DIRECTION('',(-1.,0.,7.63278329429795E-17)); #5691=DIRECTION('',(7.63278329429795E-17,0.,1.)); #5692=DIRECTION('',(-1.,0.,7.63278329429795E-17)); #5693=DIRECTION('',(7.63278329429795E-17,0.,1.)); #5694=DIRECTION('',(-1.,0.,7.63278329429795E-17)); #5695=DIRECTION('',(0.,0.,1.)); #5696=DIRECTION('',(0.,1.,0.)); #5697=DIRECTION('',(0.,0.,1.)); #5698=DIRECTION('',(1.,0.,0.)); #5699=DIRECTION('',(-1.,0.,0.)); #5700=DIRECTION('',(0.,0.,1.)); #5701=DIRECTION('',(-1.,0.,0.)); #5702=DIRECTION('',(0.,0.,1.)); #5703=DIRECTION('',(-1.,0.,0.)); #5704=DIRECTION('',(0.,0.,1.)); #5705=DIRECTION('',(-1.,0.,0.)); #5706=DIRECTION('',(0.,0.,1.)); #5707=DIRECTION('',(-1.,0.,0.)); #5708=DIRECTION('',(0.,0.,1.)); #5709=DIRECTION('',(-1.,0.,0.)); #5710=DIRECTION('',(0.,0.,1.)); #5711=DIRECTION('',(-1.,0.,0.)); #5712=DIRECTION('',(0.,0.,1.)); #5713=DIRECTION('',(1.,0.,0.)); #5714=DIRECTION('',(0.,0.,-1.)); #5715=DIRECTION('',(1.,0.,0.)); #5716=DIRECTION('',(0.,0.,-1.)); #5717=DIRECTION('',(1.,0.,0.)); #5718=DIRECTION('',(0.,0.,-1.)); #5719=DIRECTION('',(1.,0.,0.)); #5720=DIRECTION('',(0.,0.,-1.)); #5721=DIRECTION('',(-1.,0.,0.)); #5722=DIRECTION('',(0.,0.,1.)); #5723=DIRECTION('',(0.,0.,1.)); #5724=DIRECTION('',(1.,0.,0.)); #5725=DIRECTION('',(-1.,0.,0.)); #5726=DIRECTION('',(0.,0.,1.)); #5727=DIRECTION('',(-1.,0.,0.)); #5728=DIRECTION('',(0.,0.,1.)); #5729=DIRECTION('',(-1.,0.,0.)); #5730=DIRECTION('',(0.,0.,1.)); #5731=DIRECTION('',(-1.,0.,0.)); #5732=DIRECTION('',(0.,0.,1.)); #5733=DIRECTION('',(-1.,0.,0.)); #5734=DIRECTION('',(0.,0.,1.)); #5735=DIRECTION('',(-1.,0.,0.)); #5736=DIRECTION('',(0.,0.,1.)); #5737=DIRECTION('',(-1.,0.,0.)); #5738=DIRECTION('',(0.,0.,1.)); #5739=DIRECTION('',(1.,0.,0.)); #5740=DIRECTION('',(0.,0.,-1.)); #5741=DIRECTION('',(1.,0.,0.)); #5742=DIRECTION('',(0.,0.,-1.)); #5743=DIRECTION('',(1.,0.,0.)); #5744=DIRECTION('',(0.,0.,-1.)); #5745=DIRECTION('',(-1.,0.,0.)); #5746=DIRECTION('',(0.,0.,1.)); #5747=DIRECTION('',(1.,0.,0.)); #5748=DIRECTION('',(0.,0.,-1.)); #5749=DIRECTION('',(0.,0.,1.)); #5750=DIRECTION('',(1.,0.,0.)); #5751=DIRECTION('',(2.23401335704461E-17,0.00449178065356733,0.999989911902395)); #5752=DIRECTION('',(-1.,3.11427492404515E-16,2.09414808447773E-17)); #5753=DIRECTION('',(-1.,0.,-6.12323399573677E-17)); #5754=DIRECTION('',(-6.12323399573677E-17,0.,1.)); #5755=DIRECTION('',(-1.,0.,-6.12323399573677E-17)); #5756=DIRECTION('',(-6.12323399573677E-17,0.,1.)); #5757=DIRECTION('',(-1.,0.,-6.12323399573677E-17)); #5758=DIRECTION('',(-6.12323399573677E-17,0.,1.)); #5759=DIRECTION('',(-1.,0.,-6.12323399573677E-17)); #5760=DIRECTION('',(-6.12323399573677E-17,0.,1.)); #5761=DIRECTION('',(-1.,0.,-6.12323399573677E-17)); #5762=DIRECTION('',(-6.12323399573677E-17,0.,1.)); #5763=DIRECTION('',(1.,0.,6.12323399573677E-17)); #5764=DIRECTION('',(6.12323399573677E-17,0.,-1.)); #5765=DIRECTION('',(-1.,0.,-6.12323399573677E-17)); #5766=DIRECTION('',(-6.12323399573677E-17,0.,1.)); #5767=DIRECTION('',(-1.,0.,-6.12323399573677E-17)); #5768=DIRECTION('',(-6.12323399573677E-17,0.,1.)); #5769=DIRECTION('',(-1.,0.,-6.12323399573677E-17)); #5770=DIRECTION('',(-6.12323399573677E-17,0.,1.)); #5771=DIRECTION('',(-1.,0.,-6.12323399573677E-17)); #5772=DIRECTION('',(-6.12323399573677E-17,0.,1.)); #5773=DIRECTION('',(-1.,0.,-6.12323399573677E-17)); #5774=DIRECTION('',(-6.12323399573677E-17,0.,1.)); #5775=DIRECTION('',(-1.,0.,-6.12323399573677E-17)); #5776=DIRECTION('',(-6.12323399573677E-17,0.,1.)); #5777=DIRECTION('',(1.,0.,6.12323399573677E-17)); #5778=DIRECTION('',(6.12323399573677E-17,0.,-1.)); #5779=DIRECTION('',(1.,0.,6.12323399573677E-17)); #5780=DIRECTION('',(6.12323399573677E-17,0.,-1.)); #5781=DIRECTION('',(1.,0.,6.12323399573677E-17)); #5782=DIRECTION('',(6.12323399573677E-17,0.,-1.)); #5783=DIRECTION('',(1.,0.,6.12323399573677E-17)); #5784=DIRECTION('',(6.12323399573677E-17,0.,-1.)); #5785=DIRECTION('',(-1.,0.,-6.12323399573677E-17)); #5786=DIRECTION('',(0.,1.,0.)); #5787=DIRECTION('',(-1.,0.,-6.12323399573677E-17)); #5788=DIRECTION('',(-6.12323399573677E-17,0.,1.)); #5789=DIRECTION('',(-1.,0.,-6.12323399573677E-17)); #5790=DIRECTION('',(-6.12323399573677E-17,0.,1.)); #5791=DIRECTION('',(1.48945915322291E-16,0.231373495461625,0.972864998649797)); #5792=DIRECTION('',(1.,-3.25787049624667E-16,-7.56193582630714E-17)); #5793=DIRECTION('',(3.93583681523934E-15,0.00449178065356733,0.999989911902395)); #5794=DIRECTION('',(-1.,3.11427492404515E-16,3.93447764264845E-15)); #5795=DIRECTION('',(3.95628826809136E-15,0.231373495461625,0.972864998649797)); #5796=DIRECTION('',(1.,-3.25787049624667E-16,-3.98915552006675E-15)); #5797=DIRECTION('',(3.93583681523934E-15,0.00449178065356733,0.999989911902395)); #5798=DIRECTION('',(-1.,3.11427492404515E-16,3.93447764264845E-15)); #5799=DIRECTION('',(3.95628826809136E-15,0.231373495461625,0.972864998649797)); #5800=DIRECTION('',(1.,-3.25787049624667E-16,-3.98915552006675E-15)); #5801=DIRECTION('',(3.93583681523934E-15,0.00449178065356733,0.999989911902395)); #5802=DIRECTION('',(-1.,3.11427492404515E-16,3.93447764264845E-15)); #5803=DIRECTION('',(3.95628826809136E-15,0.231373495461625,0.972864998649797)); #5804=DIRECTION('',(1.,-3.25787049624667E-16,-3.98915552006675E-15)); #5805=DIRECTION('',(3.93583681523934E-15,0.00449178065356733,0.999989911902395)); #5806=DIRECTION('',(-1.,3.11427492404515E-16,3.93447764264845E-15)); #5807=DIRECTION('',(3.95628826809136E-15,0.231373495461625,0.972864998649797)); #5808=DIRECTION('',(1.,-3.25787049624667E-16,-3.98915552006675E-15)); #5809=DIRECTION('',(3.93583681523934E-15,0.00449178065356733,0.999989911902395)); #5810=DIRECTION('',(-1.,3.11427492404515E-16,3.93447764264845E-15)); #5811=DIRECTION('',(3.95628826809136E-15,0.231373495461625,0.972864998649797)); #5812=DIRECTION('',(1.,-3.25787049624667E-16,-3.98915552006675E-15)); #5813=DIRECTION('',(3.93583681523934E-15,0.00449178065356733,0.999989911902395)); #5814=DIRECTION('',(-1.,3.11427492404515E-16,3.93447764264845E-15)); #5815=DIRECTION('',(3.95628826809136E-15,0.231373495461625,0.972864998649797)); #5816=DIRECTION('',(1.,-3.25787049624667E-16,-3.98915552006675E-15)); #5817=DIRECTION('',(3.93583681523934E-15,0.00449178065356733,0.999989911902395)); #5818=DIRECTION('',(-1.,3.11427492404515E-16,3.93447764264845E-15)); #5819=DIRECTION('',(3.95628826809136E-15,0.231373495461625,0.972864998649797)); #5820=DIRECTION('',(1.,-3.25787049624667E-16,-3.98915552006675E-15)); #5821=DIRECTION('',(3.93583681523934E-15,0.00449178065356733,0.999989911902395)); #5822=DIRECTION('',(-1.,3.11427492404515E-16,3.93447764264845E-15)); #5823=DIRECTION('',(3.95628826809136E-15,0.231373495461625,0.972864998649797)); #5824=DIRECTION('',(1.,-3.25787049624667E-16,-3.98915552006675E-15)); #5825=DIRECTION('',(3.93583681523934E-15,0.00449178065356733,0.999989911902395)); #5826=DIRECTION('',(-1.,3.11427492404515E-16,3.93447764264845E-15)); #5827=DIRECTION('',(3.95628826809136E-15,0.231373495461625,0.972864998649797)); #5828=DIRECTION('',(1.,-3.25787049624667E-16,-3.98915552006675E-15)); #5829=DIRECTION('',(3.93583681523934E-15,0.00449178065356733,0.999989911902395)); #5830=DIRECTION('',(-1.,3.11427492404515E-16,3.93447764264845E-15)); #5831=DIRECTION('',(3.95628826809136E-15,0.231373495461625,0.972864998649797)); #5832=DIRECTION('',(1.,-3.25787049624667E-16,-3.98915552006675E-15)); #5833=DIRECTION('',(3.93583681523934E-15,0.00449178065356733,0.999989911902395)); #5834=DIRECTION('',(-1.,3.11427492404515E-16,3.93447764264845E-15)); #5835=DIRECTION('',(3.95628826809136E-15,0.231373495461625,0.972864998649797)); #5836=DIRECTION('',(1.,-3.25787049624667E-16,-3.98915552006675E-15)); #5837=DIRECTION('',(3.93583681523934E-15,0.00449178065356733,0.999989911902395)); #5838=DIRECTION('',(-1.,3.11427492404515E-16,3.93447764264845E-15)); #5839=DIRECTION('',(3.95628826809136E-15,0.231373495461625,0.972864998649797)); #5840=DIRECTION('',(1.,-3.25787049624667E-16,-3.98915552006675E-15)); #5841=DIRECTION('',(3.93583681523934E-15,0.00449178065356733,0.999989911902395)); #5842=DIRECTION('',(-1.,3.11427492404515E-16,3.93447764264845E-15)); #5843=DIRECTION('',(3.95628826809136E-15,0.231373495461625,0.972864998649797)); #5844=DIRECTION('',(1.,-3.25787049624667E-16,-3.98915552006675E-15)); #5845=DIRECTION('',(3.93583681523934E-15,0.00449178065356733,0.999989911902395)); #5846=DIRECTION('',(-1.,3.11427492404515E-16,3.93447764264845E-15)); #5847=DIRECTION('',(3.95628826809136E-15,0.231373495461625,0.972864998649797)); #5848=DIRECTION('',(1.,-3.25787049624667E-16,-3.98915552006675E-15)); #5849=DIRECTION('',(3.93583681523934E-15,0.00449178065356733,0.999989911902395)); #5850=DIRECTION('',(-1.,3.11427492404515E-16,3.93447764264845E-15)); #5851=DIRECTION('',(3.95628826809136E-15,0.231373495461625,0.972864998649797)); #5852=DIRECTION('',(1.,-3.25787049624667E-16,-3.98915552006675E-15)); #5853=DIRECTION('',(3.93583681523934E-15,0.00449178065356733,0.999989911902395)); #5854=DIRECTION('',(-1.,3.11427492404515E-16,3.93447764264845E-15)); #5855=DIRECTION('',(3.95628826809136E-15,0.231373495461625,0.972864998649797)); #5856=DIRECTION('',(1.,-3.25787049624667E-16,-3.98915552006675E-15)); #5857=DIRECTION('',(3.93583681523934E-15,0.00449178065356733,0.999989911902395)); #5858=DIRECTION('',(-1.,3.11427492404515E-16,3.93447764264845E-15)); #5859=DIRECTION('',(3.95628826809136E-15,0.231373495461625,0.972864998649797)); #5860=DIRECTION('',(1.,-3.25787049624667E-16,-3.98915552006675E-15)); #5861=DIRECTION('',(3.93583681523934E-15,0.00449178065356733,0.999989911902395)); #5862=DIRECTION('',(-1.,3.11427492404515E-16,3.93447764264845E-15)); #5863=DIRECTION('',(3.95628826809136E-15,0.231373495461625,0.972864998649797)); #5864=DIRECTION('',(1.,-3.25787049624667E-16,-3.98915552006675E-15)); #5865=DIRECTION('',(3.93583681523934E-15,0.00449178065356733,0.999989911902395)); #5866=DIRECTION('',(-1.,3.11427492404515E-16,3.93447764264845E-15)); #5867=DIRECTION('',(3.95628826809136E-15,0.231373495461625,0.972864998649797)); #5868=DIRECTION('',(1.,-3.25787049624667E-16,-3.98915552006675E-15)); #5869=DIRECTION('',(3.93583681523934E-15,0.00449178065356733,0.999989911902395)); #5870=DIRECTION('',(-1.,3.11427492404515E-16,3.93447764264845E-15)); #5871=DIRECTION('',(3.95628826809136E-15,0.231373495461625,0.972864998649797)); #5872=DIRECTION('',(1.,-3.25787049624667E-16,-3.98915552006675E-15)); #5873=DIRECTION('',(3.93583681523934E-15,0.00449178065356733,0.999989911902395)); #5874=DIRECTION('',(-1.,3.11427492404515E-16,3.93447764264845E-15)); #5875=DIRECTION('',(3.95628826809136E-15,0.231373495461625,0.972864998649797)); #5876=DIRECTION('',(1.,-3.25787049624667E-16,-3.98915552006675E-15)); #5877=DIRECTION('',(3.93583681523934E-15,0.00449178065356733,0.999989911902395)); #5878=DIRECTION('',(-1.,3.11427492404515E-16,3.93447764264845E-15)); #5879=DIRECTION('',(3.95628826809136E-15,0.231373495461625,0.972864998649797)); #5880=DIRECTION('',(1.,-3.25787049624667E-16,-3.98915552006675E-15)); #5881=DIRECTION('',(3.93583681523934E-15,0.00449178065356733,0.999989911902395)); #5882=DIRECTION('',(-1.,3.11427492404515E-16,3.93447764264845E-15)); #5883=DIRECTION('',(3.95628826809136E-15,0.231373495461625,0.972864998649797)); #5884=DIRECTION('',(1.,-3.25787049624667E-16,-3.98915552006675E-15)); #5885=DIRECTION('',(3.93583681523934E-15,0.00449178065356733,0.999989911902395)); #5886=DIRECTION('',(-1.,3.11427492404515E-16,3.93447764264845E-15)); #5887=DIRECTION('',(3.95628826809136E-15,0.231373495461625,0.972864998649797)); #5888=DIRECTION('',(1.,-3.25787049624667E-16,-3.98915552006675E-15)); #5889=DIRECTION('',(3.93583681523934E-15,0.00449178065356733,0.999989911902395)); #5890=DIRECTION('',(-1.,3.11427492404515E-16,3.93447764264845E-15)); #5891=DIRECTION('',(3.95628826809136E-15,0.231373495461625,0.972864998649797)); #5892=DIRECTION('',(1.,-3.25787049624667E-16,-3.98915552006675E-15)); #5893=DIRECTION('',(3.93583681523934E-15,0.00449178065356733,0.999989911902395)); #5894=DIRECTION('',(-1.,3.11427492404515E-16,3.93447764264845E-15)); #5895=DIRECTION('',(3.95628826809136E-15,0.231373495461625,0.972864998649797)); #5896=DIRECTION('',(1.,-3.25787049624667E-16,-3.98915552006675E-15)); #5897=DIRECTION('',(3.93583681523934E-15,0.00449178065356733,0.999989911902395)); #5898=DIRECTION('',(-1.,3.11427492404515E-16,3.93447764264845E-15)); #5899=DIRECTION('',(3.95628826809136E-15,0.231373495461625,0.972864998649797)); #5900=DIRECTION('',(1.,-3.25787049624667E-16,-3.98915552006675E-15)); #5901=DIRECTION('',(3.93583681523934E-15,0.00449178065356733,0.999989911902395)); #5902=DIRECTION('',(-1.,3.11427492404515E-16,3.93447764264845E-15)); #5903=DIRECTION('',(3.95628826809136E-15,0.231373495461625,0.972864998649797)); #5904=DIRECTION('',(1.,-3.25787049624667E-16,-3.98915552006675E-15)); #5905=DIRECTION('',(3.93583681523934E-15,0.00449178065356733,0.999989911902395)); #5906=DIRECTION('',(-1.,3.11427492404515E-16,3.93447764264845E-15)); #5907=DIRECTION('',(3.95628826809136E-15,0.231373495461625,0.972864998649797)); #5908=DIRECTION('',(1.,-3.25787049624667E-16,-3.98915552006675E-15)); #5909=DIRECTION('',(3.93583681523934E-15,0.00449178065356733,0.999989911902395)); #5910=DIRECTION('',(-1.,3.11427492404515E-16,3.93447764264845E-15)); #5911=DIRECTION('',(3.95628826809136E-15,0.231373495461625,0.972864998649797)); #5912=DIRECTION('',(1.,-3.25787049624667E-16,-3.98915552006675E-15)); #5913=DIRECTION('',(3.93583681523934E-15,0.00449178065356733,0.999989911902395)); #5914=DIRECTION('',(-1.,3.11427492404515E-16,3.93447764264845E-15)); #5915=DIRECTION('',(3.95628826809136E-15,0.231373495461625,0.972864998649797)); #5916=DIRECTION('',(1.,-3.25787049624667E-16,-3.98915552006675E-15)); #5917=DIRECTION('',(3.93583681523934E-15,0.00449178065356733,0.999989911902395)); #5918=DIRECTION('',(-1.,3.11427492404515E-16,3.93447764264845E-15)); #5919=DIRECTION('',(3.95628826809136E-15,0.231373495461625,0.972864998649797)); #5920=DIRECTION('',(1.,-3.25787049624667E-16,-3.98915552006675E-15)); #5921=DIRECTION('',(3.93583681523934E-15,0.00449178065356733,0.999989911902395)); #5922=DIRECTION('',(-1.,3.11427492404515E-16,3.93447764264845E-15)); #5923=DIRECTION('',(3.95628826809136E-15,0.231373495461625,0.972864998649797)); #5924=DIRECTION('',(1.,-3.25787049624667E-16,-3.98915552006675E-15)); #5925=DIRECTION('',(3.93583681523934E-15,0.00449178065356733,0.999989911902395)); #5926=DIRECTION('',(-1.,3.11427492404515E-16,3.93447764264845E-15)); #5927=DIRECTION('',(3.95628826809136E-15,0.231373495461625,0.972864998649797)); #5928=DIRECTION('',(1.,-3.25787049624667E-16,-3.98915552006675E-15)); #5929=DIRECTION('',(3.93583681523934E-15,0.00449178065356733,0.999989911902395)); #5930=DIRECTION('',(-1.,3.11427492404515E-16,3.93447764264845E-15)); #5931=DIRECTION('',(3.95628826809136E-15,0.231373495461625,0.972864998649797)); #5932=DIRECTION('',(1.,-3.25787049624667E-16,-3.98915552006675E-15)); #5933=DIRECTION('',(3.93583681523934E-15,0.00449178065356733,0.999989911902395)); #5934=DIRECTION('',(-1.,3.11427492404515E-16,3.93447764264845E-15)); #5935=DIRECTION('',(3.95628826809136E-15,0.231373495461625,0.972864998649797)); #5936=DIRECTION('',(1.,-3.25787049624667E-16,-3.98915552006675E-15)); #5937=DIRECTION('',(3.93583681523934E-15,0.00449178065356733,0.999989911902395)); #5938=DIRECTION('',(-1.,3.11427492404515E-16,3.93447764264845E-15)); #5939=DIRECTION('',(3.95628826809136E-15,0.231373495461625,0.972864998649797)); #5940=DIRECTION('',(1.,-3.25787049624667E-16,-3.98915552006675E-15)); #5941=DIRECTION('',(3.93583681523934E-15,0.00449178065356733,0.999989911902395)); #5942=DIRECTION('',(-1.,3.11427492404515E-16,3.93447764264845E-15)); #5943=DIRECTION('',(3.95628826809136E-15,0.231373495461625,0.972864998649797)); #5944=DIRECTION('',(1.,-3.25787049624667E-16,-3.98915552006675E-15)); #5945=DIRECTION('',(3.93583681523934E-15,0.00449178065356733,0.999989911902395)); #5946=DIRECTION('',(-1.,3.11427492404515E-16,3.93447764264845E-15)); #5947=DIRECTION('',(3.95628826809136E-15,0.231373495461625,0.972864998649797)); #5948=DIRECTION('',(1.,-3.25787049624667E-16,-3.98915552006675E-15)); #5949=DIRECTION('',(3.93583681523934E-15,0.00449178065356733,0.999989911902395)); #5950=DIRECTION('',(-1.,3.11427492404515E-16,3.93447764264845E-15)); #5951=DIRECTION('',(3.95628826809136E-15,0.231373495461625,0.972864998649797)); #5952=DIRECTION('',(1.,-3.25787049624667E-16,-3.98915552006675E-15)); #5953=DIRECTION('',(3.93583681523934E-15,0.00449178065356733,0.999989911902395)); #5954=DIRECTION('',(-1.,3.11427492404515E-16,3.93447764264845E-15)); #5955=DIRECTION('',(3.95628826809136E-15,0.231373495461625,0.972864998649797)); #5956=DIRECTION('',(1.,-3.25787049624667E-16,-3.98915552006675E-15)); #5957=DIRECTION('',(3.93583681523934E-15,0.00449178065356733,0.999989911902395)); #5958=DIRECTION('',(-1.,3.11427492404515E-16,3.93447764264845E-15)); #5959=DIRECTION('',(3.95628826809136E-15,0.231373495461625,0.972864998649797)); #5960=DIRECTION('',(1.,-3.25787049624667E-16,-3.98915552006675E-15)); #5961=DIRECTION('',(3.93583681523934E-15,0.00449178065356733,0.999989911902395)); #5962=DIRECTION('',(-1.,3.11427492404515E-16,3.93447764264845E-15)); #5963=DIRECTION('',(3.95628826809136E-15,0.231373495461625,0.972864998649797)); #5964=DIRECTION('',(1.,-3.25787049624667E-16,-3.98915552006675E-15)); #5965=DIRECTION('',(3.93583681523934E-15,0.00449178065356733,0.999989911902395)); #5966=DIRECTION('',(-1.,3.11427492404515E-16,3.93447764264845E-15)); #5967=DIRECTION('',(3.95628826809136E-15,0.231373495461625,0.972864998649797)); #5968=DIRECTION('',(1.,-3.25787049624667E-16,-3.98915552006675E-15)); #5969=DIRECTION('',(3.93583681523934E-15,0.00449178065356733,0.999989911902395)); #5970=DIRECTION('',(-1.,3.11427492404515E-16,3.93447764264845E-15)); #5971=DIRECTION('',(3.95628826809136E-15,0.231373495461625,0.972864998649797)); #5972=DIRECTION('',(1.,-3.25787049624667E-16,-3.98915552006675E-15)); #5973=DIRECTION('',(3.93583681523934E-15,0.00449178065356733,0.999989911902395)); #5974=DIRECTION('',(-1.,3.11427492404515E-16,3.93447764264845E-15)); #5975=DIRECTION('',(3.95628826809136E-15,0.231373495461625,0.972864998649797)); #5976=DIRECTION('',(1.,-3.25787049624667E-16,-3.98915552006675E-15)); #5977=DIRECTION('',(3.93583681523934E-15,0.00449178065356733,0.999989911902395)); #5978=DIRECTION('',(-1.,3.11427492404515E-16,3.93447764264845E-15)); #5979=DIRECTION('',(3.95628826809136E-15,0.231373495461625,0.972864998649797)); #5980=DIRECTION('',(1.,-3.25787049624667E-16,-3.98915552006675E-15)); #5981=DIRECTION('',(3.93583681523934E-15,0.00449178065356733,0.999989911902395)); #5982=DIRECTION('',(-1.,3.11427492404515E-16,3.93447764264845E-15)); #5983=DIRECTION('',(3.95628826809136E-15,0.231373495461625,0.972864998649797)); #5984=DIRECTION('',(1.,-3.25787049624667E-16,-3.98915552006675E-15)); #5985=DIRECTION('',(3.93583681523934E-15,0.00449178065356733,0.999989911902395)); #5986=DIRECTION('',(-1.,3.11427492404515E-16,3.93447764264845E-15)); #5987=DIRECTION('',(3.95628826809136E-15,0.231373495461625,0.972864998649797)); #5988=DIRECTION('',(1.,-3.25787049624667E-16,-3.98915552006675E-15)); #5989=DIRECTION('',(3.93583681523934E-15,0.00449178065356733,0.999989911902395)); #5990=DIRECTION('',(-1.,3.11427492404515E-16,3.93447764264845E-15)); #5991=DIRECTION('',(3.95628826809136E-15,0.231373495461625,0.972864998649797)); #5992=DIRECTION('',(1.,-3.25787049624667E-16,-3.98915552006675E-15)); #5993=DIRECTION('',(3.93583681523934E-15,0.00449178065356733,0.999989911902395)); #5994=DIRECTION('',(-1.,3.11427492404515E-16,3.93447764264845E-15)); #5995=DIRECTION('',(3.95628826809136E-15,0.231373495461625,0.972864998649797)); #5996=DIRECTION('',(1.,-3.25787049624667E-16,-3.98915552006675E-15)); #5997=DIRECTION('',(3.93583681523934E-15,0.00449178065356733,0.999989911902395)); #5998=DIRECTION('',(-1.,3.11427492404515E-16,3.93447764264845E-15)); #5999=DIRECTION('',(3.95628826809136E-15,0.231373495461625,0.972864998649797)); #6000=DIRECTION('',(1.,-3.25787049624667E-16,-3.98915552006675E-15)); #6001=DIRECTION('',(3.93583681523934E-15,0.00449178065356733,0.999989911902395)); #6002=DIRECTION('',(-1.,3.11427492404515E-16,3.93447764264845E-15)); #6003=DIRECTION('',(3.95628826809136E-15,0.231373495461625,0.972864998649797)); #6004=DIRECTION('',(1.,-3.25787049624667E-16,-3.98915552006675E-15)); #6005=DIRECTION('',(3.93583681523934E-15,0.00449178065356733,0.999989911902395)); #6006=DIRECTION('',(-1.,3.11427492404515E-16,3.93447764264845E-15)); #6007=DIRECTION('',(3.95628826809136E-15,0.231373495461625,0.972864998649797)); #6008=DIRECTION('',(1.,-3.25787049624667E-16,-3.98915552006675E-15)); #6009=DIRECTION('',(3.93583681523934E-15,0.00449178065356733,0.999989911902395)); #6010=DIRECTION('',(-1.,3.11427492404515E-16,3.93447764264845E-15)); #6011=DIRECTION('',(3.95628826809136E-15,0.231373495461625,0.972864998649797)); #6012=DIRECTION('',(1.,-3.25787049624667E-16,-3.98915552006675E-15)); #6013=DIRECTION('',(3.93583681523934E-15,0.00449178065356733,0.999989911902395)); #6014=DIRECTION('',(-1.,3.11427492404515E-16,3.93447764264845E-15)); #6015=DIRECTION('',(3.95628826809136E-15,0.231373495461625,0.972864998649797)); #6016=DIRECTION('',(1.,-3.25787049624667E-16,-3.98915552006675E-15)); #6017=DIRECTION('',(3.93583681523934E-15,0.00449178065356733,0.999989911902395)); #6018=DIRECTION('',(-1.,3.11427492404515E-16,3.93447764264845E-15)); #6019=DIRECTION('',(3.95628826809136E-15,0.231373495461625,0.972864998649797)); #6020=DIRECTION('',(1.,-3.25787049624667E-16,-3.98915552006675E-15)); #6021=DIRECTION('',(3.93583681523934E-15,0.00449178065356733,0.999989911902395)); #6022=DIRECTION('',(-1.,3.11427492404515E-16,3.93447764264845E-15)); #6023=DIRECTION('',(3.95628826809136E-15,0.231373495461625,0.972864998649797)); #6024=DIRECTION('',(1.,-3.25787049624667E-16,-3.98915552006675E-15)); #6025=DIRECTION('',(3.93583681523934E-15,0.00449178065356733,0.999989911902395)); #6026=DIRECTION('',(-1.,3.11427492404515E-16,3.93447764264845E-15)); #6027=DIRECTION('',(3.95628826809136E-15,0.231373495461625,0.972864998649797)); #6028=DIRECTION('',(1.,-3.25787049624667E-16,-3.98915552006675E-15)); #6029=CARTESIAN_POINT('',(0.,0.,0.)); #6030=CARTESIAN_POINT('',(-26.,0.,25.)); #6031=CARTESIAN_POINT('',(-26.,2000.,25.)); #6032=CARTESIAN_POINT('',(-26.,2000.,25.)); #6033=CARTESIAN_POINT('',(-24.,2000.,25.)); #6034=CARTESIAN_POINT('',(-26.,0.,25.)); #6035=CARTESIAN_POINT('',(-26.,0.,25.)); #6036=CARTESIAN_POINT('',(-26.,0.,25.)); #6037=CARTESIAN_POINT('',(-24.,0.,25.)); #6038=CARTESIAN_POINT('',(-24.,0.,25.)); #6039=CARTESIAN_POINT('',(26.,0.,0.)); #6040=CARTESIAN_POINT('',(24.,0.,0.)); #6041=CARTESIAN_POINT('',(24.,0.,25.)); #6042=CARTESIAN_POINT('',(24.,0.,4.)); #6043=CARTESIAN_POINT('',(22.,0.,4.)); #6044=CARTESIAN_POINT('',(22.,0.,2.)); #6045=CARTESIAN_POINT('',(-26.,0.,2.)); #6046=CARTESIAN_POINT('',(-22.,0.,2.)); #6047=CARTESIAN_POINT('',(-22.,0.,4.)); #6048=CARTESIAN_POINT('',(-24.,0.,4.)); #6049=CARTESIAN_POINT('',(-24.,0.,0.)); #6050=CARTESIAN_POINT('',(-26.,0.,0.)); #6051=CARTESIAN_POINT('',(-26.,0.,4.)); #6052=CARTESIAN_POINT('',(-22.,0.,4.)); #6053=CARTESIAN_POINT('',(-22.,0.,0.)); #6054=CARTESIAN_POINT('',(-26.,0.,0.)); #6055=CARTESIAN_POINT('',(22.,0.,0.)); #6056=CARTESIAN_POINT('',(22.,0.,4.)); #6057=CARTESIAN_POINT('',(26.,0.,4.)); #6058=CARTESIAN_POINT('',(26.,0.,0.)); #6059=CARTESIAN_POINT('',(26.,0.,25.)); #6060=CARTESIAN_POINT('',(26.,0.,25.)); #6061=CARTESIAN_POINT('',(26.,0.,25.)); #6062=CARTESIAN_POINT('',(26.,0.,25.)); #6063=CARTESIAN_POINT('',(26.,2000.,25.)); #6064=CARTESIAN_POINT('',(26.,2000.,25.)); #6065=CARTESIAN_POINT('',(24.,2000.,25.)); #6066=CARTESIAN_POINT('',(24.,0.,25.)); #6067=CARTESIAN_POINT('',(22.,2000.,4.)); #6068=CARTESIAN_POINT('',(22.,2000.,4.)); #6069=CARTESIAN_POINT('',(26.,2000.,4.)); #6070=CARTESIAN_POINT('',(22.,2000.,0.)); #6071=CARTESIAN_POINT('',(-26.,2000.,0.)); #6072=CARTESIAN_POINT('',(-22.,2000.,0.)); #6073=CARTESIAN_POINT('',(-22.,2000.,4.)); #6074=CARTESIAN_POINT('',(-26.,2000.,4.)); #6075=CARTESIAN_POINT('',(-26.,2000.,0.)); #6076=CARTESIAN_POINT('',(-24.,2000.,0.)); #6077=CARTESIAN_POINT('',(-24.,2000.,4.)); #6078=CARTESIAN_POINT('',(-22.,2000.,4.)); #6079=CARTESIAN_POINT('',(-22.,2000.,2.)); #6080=CARTESIAN_POINT('',(-26.,2000.,2.)); #6081=CARTESIAN_POINT('',(22.,2000.,2.)); #6082=CARTESIAN_POINT('',(22.,2000.,4.)); #6083=CARTESIAN_POINT('',(24.,2000.,4.)); #6084=CARTESIAN_POINT('',(24.,2000.,0.)); #6085=CARTESIAN_POINT('',(26.,2000.,0.)); #6086=CARTESIAN_POINT('',(24.,0.,0.)); #6087=CARTESIAN_POINT('',(24.,1965.,20.)); #6088=CARTESIAN_POINT('',(24.,1965.,17.4)); #6089=CARTESIAN_POINT('',(24.,1932.,20.)); #6090=CARTESIAN_POINT('',(24.,1932.,17.4)); #6091=CARTESIAN_POINT('',(24.,1899.,20.)); #6092=CARTESIAN_POINT('',(24.,1899.,17.4)); #6093=CARTESIAN_POINT('',(24.,1866.,20.)); #6094=CARTESIAN_POINT('',(24.,1866.,17.4)); #6095=CARTESIAN_POINT('',(24.,1833.,20.)); #6096=CARTESIAN_POINT('',(24.,1833.,17.4)); #6097=CARTESIAN_POINT('',(24.,1800.,20.)); #6098=CARTESIAN_POINT('',(24.,1800.,17.4)); #6099=CARTESIAN_POINT('',(24.,1767.,20.)); #6100=CARTESIAN_POINT('',(24.,1767.,17.4)); #6101=CARTESIAN_POINT('',(24.,1734.,20.)); #6102=CARTESIAN_POINT('',(24.,1734.,17.4)); #6103=CARTESIAN_POINT('',(24.,1701.,20.)); #6104=CARTESIAN_POINT('',(24.,1701.,17.4)); #6105=CARTESIAN_POINT('',(24.,1668.,20.)); #6106=CARTESIAN_POINT('',(24.,1668.,17.4)); #6107=CARTESIAN_POINT('',(24.,1635.,20.)); #6108=CARTESIAN_POINT('',(24.,1635.,17.4)); #6109=CARTESIAN_POINT('',(24.,1602.,20.)); #6110=CARTESIAN_POINT('',(24.,1602.,17.4)); #6111=CARTESIAN_POINT('',(24.,1569.,20.)); #6112=CARTESIAN_POINT('',(24.,1569.,17.4)); #6113=CARTESIAN_POINT('',(24.,1536.,20.)); #6114=CARTESIAN_POINT('',(24.,1536.,17.4)); #6115=CARTESIAN_POINT('',(24.,1503.,20.)); #6116=CARTESIAN_POINT('',(24.,1503.,17.4)); #6117=CARTESIAN_POINT('',(24.,1470.,20.)); #6118=CARTESIAN_POINT('',(24.,1470.,17.4)); #6119=CARTESIAN_POINT('',(24.,1437.,20.)); #6120=CARTESIAN_POINT('',(24.,1437.,17.4)); #6121=CARTESIAN_POINT('',(24.,1404.,20.)); #6122=CARTESIAN_POINT('',(24.,1404.,17.4)); #6123=CARTESIAN_POINT('',(24.,1371.,20.)); #6124=CARTESIAN_POINT('',(24.,1371.,17.4)); #6125=CARTESIAN_POINT('',(24.,1338.,20.)); #6126=CARTESIAN_POINT('',(24.,1338.,17.4)); #6127=CARTESIAN_POINT('',(24.,1305.,20.)); #6128=CARTESIAN_POINT('',(24.,1305.,17.4)); #6129=CARTESIAN_POINT('',(24.,1272.,20.)); #6130=CARTESIAN_POINT('',(24.,1272.,17.4)); #6131=CARTESIAN_POINT('',(24.,1239.,20.)); #6132=CARTESIAN_POINT('',(24.,1239.,17.4)); #6133=CARTESIAN_POINT('',(24.,1206.,20.)); #6134=CARTESIAN_POINT('',(24.,1206.,17.4)); #6135=CARTESIAN_POINT('',(24.,1173.,20.)); #6136=CARTESIAN_POINT('',(24.,1173.,17.4)); #6137=CARTESIAN_POINT('',(24.,1140.,20.)); #6138=CARTESIAN_POINT('',(24.,1140.,17.4)); #6139=CARTESIAN_POINT('',(24.,1107.,20.)); #6140=CARTESIAN_POINT('',(24.,1107.,17.4)); #6141=CARTESIAN_POINT('',(24.,1074.,20.)); #6142=CARTESIAN_POINT('',(24.,1074.,17.4)); #6143=CARTESIAN_POINT('',(24.,1041.,20.)); #6144=CARTESIAN_POINT('',(24.,1041.,17.4)); #6145=CARTESIAN_POINT('',(24.,1008.,20.)); #6146=CARTESIAN_POINT('',(24.,1008.,17.4)); #6147=CARTESIAN_POINT('',(24.,975.000000000001,20.)); #6148=CARTESIAN_POINT('',(24.,975.000000000001,17.4)); #6149=CARTESIAN_POINT('',(24.,942.000000000001,20.)); #6150=CARTESIAN_POINT('',(24.,942.000000000001,17.4)); #6151=CARTESIAN_POINT('',(24.,909.,20.)); #6152=CARTESIAN_POINT('',(24.,909.,17.4)); #6153=CARTESIAN_POINT('',(24.,876.000000000001,20.)); #6154=CARTESIAN_POINT('',(24.,876.000000000001,17.4)); #6155=CARTESIAN_POINT('',(24.,843.000000000001,20.)); #6156=CARTESIAN_POINT('',(24.,843.000000000001,17.4)); #6157=CARTESIAN_POINT('',(24.,810.,20.)); #6158=CARTESIAN_POINT('',(24.,810.,17.4)); #6159=CARTESIAN_POINT('',(24.,777.,20.)); #6160=CARTESIAN_POINT('',(24.,777.,17.4)); #6161=CARTESIAN_POINT('',(24.,744.,20.)); #6162=CARTESIAN_POINT('',(24.,744.,17.4)); #6163=CARTESIAN_POINT('',(24.,711.000000000001,20.)); #6164=CARTESIAN_POINT('',(24.,711.000000000001,17.4)); #6165=CARTESIAN_POINT('',(24.,678.,20.)); #6166=CARTESIAN_POINT('',(24.,678.,17.4)); #6167=CARTESIAN_POINT('',(24.,645.,20.)); #6168=CARTESIAN_POINT('',(24.,645.,17.4)); #6169=CARTESIAN_POINT('',(24.,612.,20.)); #6170=CARTESIAN_POINT('',(24.,612.,17.4)); #6171=CARTESIAN_POINT('',(24.,579.,20.)); #6172=CARTESIAN_POINT('',(24.,579.,17.4)); #6173=CARTESIAN_POINT('',(24.,546.,20.)); #6174=CARTESIAN_POINT('',(24.,546.,17.4)); #6175=CARTESIAN_POINT('',(24.,513.,20.)); #6176=CARTESIAN_POINT('',(24.,513.,17.4)); #6177=CARTESIAN_POINT('',(24.,480.,20.)); #6178=CARTESIAN_POINT('',(24.,480.,17.4)); #6179=CARTESIAN_POINT('',(24.,447.,20.)); #6180=CARTESIAN_POINT('',(24.,447.,17.4)); #6181=CARTESIAN_POINT('',(24.,414.,20.)); #6182=CARTESIAN_POINT('',(24.,414.,17.4)); #6183=CARTESIAN_POINT('',(24.,381.,20.)); #6184=CARTESIAN_POINT('',(24.,381.,17.4)); #6185=CARTESIAN_POINT('',(24.,348.,20.)); #6186=CARTESIAN_POINT('',(24.,348.,17.4)); #6187=CARTESIAN_POINT('',(24.,315.,20.)); #6188=CARTESIAN_POINT('',(24.,315.,17.4)); #6189=CARTESIAN_POINT('',(24.,282.,20.)); #6190=CARTESIAN_POINT('',(24.,282.,17.4)); #6191=CARTESIAN_POINT('',(24.,249.,20.)); #6192=CARTESIAN_POINT('',(24.,249.,17.4)); #6193=CARTESIAN_POINT('',(24.,216.,20.)); #6194=CARTESIAN_POINT('',(24.,216.,17.4)); #6195=CARTESIAN_POINT('',(24.,183.,20.)); #6196=CARTESIAN_POINT('',(24.,183.,17.4)); #6197=CARTESIAN_POINT('',(24.,150.,20.)); #6198=CARTESIAN_POINT('',(24.,150.,17.4)); #6199=CARTESIAN_POINT('',(24.,117.,20.)); #6200=CARTESIAN_POINT('',(24.,117.,17.4)); #6201=CARTESIAN_POINT('',(24.,84.0000000000001,20.)); #6202=CARTESIAN_POINT('',(24.,84.0000000000001,17.4)); #6203=CARTESIAN_POINT('',(24.,50.9999999999999,20.)); #6204=CARTESIAN_POINT('',(24.,50.9999999999999,17.4)); #6205=CARTESIAN_POINT('',(24.,18.,20.)); #6206=CARTESIAN_POINT('',(24.,18.,17.4)); #6207=CARTESIAN_POINT('',(24.,0.,4.)); #6208=CARTESIAN_POINT('',(22.,0.,4.)); #6209=CARTESIAN_POINT('',(22.,0.,2.)); #6210=CARTESIAN_POINT('',(-26.,0.,2.)); #6211=CARTESIAN_POINT('',(4.69185574067757E-15,1948.5,2.)); #6212=CARTESIAN_POINT('',(-2.1,1948.5,2.)); #6213=CARTESIAN_POINT('',(8.03176969377262E-16,1915.5,2.)); #6214=CARTESIAN_POINT('',(-2.1,1915.5,2.)); #6215=CARTESIAN_POINT('',(8.03176969377262E-16,1849.5,2.)); #6216=CARTESIAN_POINT('',(-2.1,1849.5,2.)); #6217=CARTESIAN_POINT('',(8.03176969377262E-16,1783.5,2.)); #6218=CARTESIAN_POINT('',(-2.1,1783.5,2.)); #6219=CARTESIAN_POINT('',(8.03176969377262E-16,1717.5,2.)); #6220=CARTESIAN_POINT('',(-2.1,1717.5,2.)); #6221=CARTESIAN_POINT('',(8.03176969377262E-16,1651.5,2.)); #6222=CARTESIAN_POINT('',(-2.1,1651.5,2.)); #6223=CARTESIAN_POINT('',(8.03176969377262E-16,1585.5,2.)); #6224=CARTESIAN_POINT('',(-2.1,1585.5,2.)); #6225=CARTESIAN_POINT('',(8.03176969377262E-16,1519.5,2.)); #6226=CARTESIAN_POINT('',(-2.1,1519.5,2.)); #6227=CARTESIAN_POINT('',(8.03176969377262E-16,1453.5,2.)); #6228=CARTESIAN_POINT('',(-2.1,1453.5,2.)); #6229=CARTESIAN_POINT('',(8.03176969377262E-16,1387.5,2.)); #6230=CARTESIAN_POINT('',(-2.1,1387.5,2.)); #6231=CARTESIAN_POINT('',(8.03176969377262E-16,1321.5,2.)); #6232=CARTESIAN_POINT('',(-2.1,1321.5,2.)); #6233=CARTESIAN_POINT('',(8.03176969377262E-16,1255.5,2.)); #6234=CARTESIAN_POINT('',(-2.1,1255.5,2.)); #6235=CARTESIAN_POINT('',(8.03176969377262E-16,1189.5,2.)); #6236=CARTESIAN_POINT('',(-2.1,1189.5,2.)); #6237=CARTESIAN_POINT('',(8.03176969377262E-16,1123.5,2.)); #6238=CARTESIAN_POINT('',(-2.1,1123.5,2.)); #6239=CARTESIAN_POINT('',(8.03176969377262E-16,1057.5,2.)); #6240=CARTESIAN_POINT('',(-2.1,1057.5,2.)); #6241=CARTESIAN_POINT('',(8.03176969377262E-16,991.5,2.)); #6242=CARTESIAN_POINT('',(-2.1,991.5,2.)); #6243=CARTESIAN_POINT('',(8.03176969377262E-16,925.5,2.)); #6244=CARTESIAN_POINT('',(-2.1,925.5,2.)); #6245=CARTESIAN_POINT('',(8.03176969377262E-16,859.5,2.)); #6246=CARTESIAN_POINT('',(-2.1,859.5,2.)); #6247=CARTESIAN_POINT('',(8.03176969377262E-16,793.5,2.)); #6248=CARTESIAN_POINT('',(-2.1,793.5,2.)); #6249=CARTESIAN_POINT('',(8.03176969377262E-16,727.5,2.)); #6250=CARTESIAN_POINT('',(-2.1,727.5,2.)); #6251=CARTESIAN_POINT('',(8.03176969377262E-16,661.5,2.)); #6252=CARTESIAN_POINT('',(-2.1,661.5,2.)); #6253=CARTESIAN_POINT('',(8.03176969377262E-16,595.5,2.)); #6254=CARTESIAN_POINT('',(-2.1,595.5,2.)); #6255=CARTESIAN_POINT('',(8.03176969377262E-16,529.5,2.)); #6256=CARTESIAN_POINT('',(-2.1,529.5,2.)); #6257=CARTESIAN_POINT('',(8.03176969377262E-16,463.5,2.)); #6258=CARTESIAN_POINT('',(-2.1,463.5,2.)); #6259=CARTESIAN_POINT('',(8.03176969377262E-16,397.5,2.)); #6260=CARTESIAN_POINT('',(-2.1,397.5,2.)); #6261=CARTESIAN_POINT('',(8.03176969377262E-16,331.5,2.)); #6262=CARTESIAN_POINT('',(-2.1,331.5,2.)); #6263=CARTESIAN_POINT('',(8.03176969377262E-16,265.5,2.)); #6264=CARTESIAN_POINT('',(-2.1,265.5,2.)); #6265=CARTESIAN_POINT('',(8.03176969377262E-16,199.5,2.)); #6266=CARTESIAN_POINT('',(-2.1,199.5,2.)); #6267=CARTESIAN_POINT('',(8.03176969377262E-16,133.5,2.)); #6268=CARTESIAN_POINT('',(-2.1,133.5,2.)); #6269=CARTESIAN_POINT('',(6.50521303491303E-16,67.5,2.)); #6270=CARTESIAN_POINT('',(-2.1,67.5,2.)); #6271=CARTESIAN_POINT('',(5.41888311566402E-15,34.5,2.)); #6272=CARTESIAN_POINT('',(-2.1,34.5,2.)); #6273=CARTESIAN_POINT('',(-22.,0.,2.)); #6274=CARTESIAN_POINT('',(-22.,0.,4.)); #6275=CARTESIAN_POINT('',(-24.,0.,4.)); #6276=CARTESIAN_POINT('',(-24.,0.,0.)); #6277=CARTESIAN_POINT('',(-24.,1965.,19.9999999999998)); #6278=CARTESIAN_POINT('',(-24.,1965.,22.5999999999998)); #6279=CARTESIAN_POINT('',(-24.,1932.,19.9999999999998)); #6280=CARTESIAN_POINT('',(-24.,1932.,22.5999999999998)); #6281=CARTESIAN_POINT('',(-24.,1899.,19.9999999999998)); #6282=CARTESIAN_POINT('',(-24.,1899.,22.5999999999998)); #6283=CARTESIAN_POINT('',(-24.,1866.,19.9999999999998)); #6284=CARTESIAN_POINT('',(-24.,1866.,22.5999999999998)); #6285=CARTESIAN_POINT('',(-24.,1833.,19.9999999999998)); #6286=CARTESIAN_POINT('',(-24.,1833.,22.5999999999998)); #6287=CARTESIAN_POINT('',(-24.,1800.,19.9999999999998)); #6288=CARTESIAN_POINT('',(-24.,1800.,22.5999999999998)); #6289=CARTESIAN_POINT('',(-24.,1767.,19.9999999999998)); #6290=CARTESIAN_POINT('',(-24.,1767.,22.5999999999998)); #6291=CARTESIAN_POINT('',(-24.,1734.,19.9999999999998)); #6292=CARTESIAN_POINT('',(-24.,1734.,22.5999999999998)); #6293=CARTESIAN_POINT('',(-24.,1701.,19.9999999999998)); #6294=CARTESIAN_POINT('',(-24.,1701.,22.5999999999998)); #6295=CARTESIAN_POINT('',(-24.,1668.,19.9999999999998)); #6296=CARTESIAN_POINT('',(-24.,1668.,22.5999999999998)); #6297=CARTESIAN_POINT('',(-24.,1635.,19.9999999999998)); #6298=CARTESIAN_POINT('',(-24.,1635.,22.5999999999998)); #6299=CARTESIAN_POINT('',(-24.,1602.,19.9999999999998)); #6300=CARTESIAN_POINT('',(-24.,1602.,22.5999999999998)); #6301=CARTESIAN_POINT('',(-24.,1569.,19.9999999999998)); #6302=CARTESIAN_POINT('',(-24.,1569.,22.5999999999998)); #6303=CARTESIAN_POINT('',(-24.,1536.,19.9999999999998)); #6304=CARTESIAN_POINT('',(-24.,1536.,22.5999999999998)); #6305=CARTESIAN_POINT('',(-24.,1503.,19.9999999999998)); #6306=CARTESIAN_POINT('',(-24.,1503.,22.5999999999998)); #6307=CARTESIAN_POINT('',(-24.,1470.,19.9999999999998)); #6308=CARTESIAN_POINT('',(-24.,1470.,22.5999999999998)); #6309=CARTESIAN_POINT('',(-24.,1437.,19.9999999999998)); #6310=CARTESIAN_POINT('',(-24.,1437.,22.5999999999998)); #6311=CARTESIAN_POINT('',(-24.,1404.,19.9999999999998)); #6312=CARTESIAN_POINT('',(-24.,1404.,22.5999999999998)); #6313=CARTESIAN_POINT('',(-24.,1371.,19.9999999999998)); #6314=CARTESIAN_POINT('',(-24.,1371.,22.5999999999998)); #6315=CARTESIAN_POINT('',(-24.,1338.,19.9999999999998)); #6316=CARTESIAN_POINT('',(-24.,1338.,22.5999999999998)); #6317=CARTESIAN_POINT('',(-24.,1305.,19.9999999999998)); #6318=CARTESIAN_POINT('',(-24.,1305.,22.5999999999998)); #6319=CARTESIAN_POINT('',(-24.,1272.,19.9999999999998)); #6320=CARTESIAN_POINT('',(-24.,1272.,22.5999999999998)); #6321=CARTESIAN_POINT('',(-24.,1239.,19.9999999999998)); #6322=CARTESIAN_POINT('',(-24.,1239.,22.5999999999998)); #6323=CARTESIAN_POINT('',(-24.,1206.,19.9999999999998)); #6324=CARTESIAN_POINT('',(-24.,1206.,22.5999999999998)); #6325=CARTESIAN_POINT('',(-24.,1173.,19.9999999999998)); #6326=CARTESIAN_POINT('',(-24.,1173.,22.5999999999998)); #6327=CARTESIAN_POINT('',(-24.,1140.,19.9999999999998)); #6328=CARTESIAN_POINT('',(-24.,1140.,22.5999999999998)); #6329=CARTESIAN_POINT('',(-24.,1107.,19.9999999999998)); #6330=CARTESIAN_POINT('',(-24.,1107.,22.5999999999998)); #6331=CARTESIAN_POINT('',(-24.,1074.,19.9999999999998)); #6332=CARTESIAN_POINT('',(-24.,1074.,22.5999999999998)); #6333=CARTESIAN_POINT('',(-24.,1041.,19.9999999999998)); #6334=CARTESIAN_POINT('',(-24.,1041.,22.5999999999998)); #6335=CARTESIAN_POINT('',(-24.,1008.,19.9999999999998)); #6336=CARTESIAN_POINT('',(-24.,1008.,22.5999999999998)); #6337=CARTESIAN_POINT('',(-24.,975.000000000001,19.9999999999998)); #6338=CARTESIAN_POINT('',(-24.,975.000000000001,22.5999999999998)); #6339=CARTESIAN_POINT('',(-24.,942.000000000001,19.9999999999998)); #6340=CARTESIAN_POINT('',(-24.,942.000000000001,22.5999999999998)); #6341=CARTESIAN_POINT('',(-24.,909.,19.9999999999998)); #6342=CARTESIAN_POINT('',(-24.,909.,22.5999999999998)); #6343=CARTESIAN_POINT('',(-24.,876.000000000001,19.9999999999998)); #6344=CARTESIAN_POINT('',(-24.,876.000000000001,22.5999999999998)); #6345=CARTESIAN_POINT('',(-24.,843.000000000001,19.9999999999998)); #6346=CARTESIAN_POINT('',(-24.,843.000000000001,22.5999999999998)); #6347=CARTESIAN_POINT('',(-24.,810.,19.9999999999998)); #6348=CARTESIAN_POINT('',(-24.,810.,22.5999999999998)); #6349=CARTESIAN_POINT('',(-24.,777.,19.9999999999998)); #6350=CARTESIAN_POINT('',(-24.,777.,22.5999999999998)); #6351=CARTESIAN_POINT('',(-24.,744.,19.9999999999998)); #6352=CARTESIAN_POINT('',(-24.,744.,22.5999999999998)); #6353=CARTESIAN_POINT('',(-24.,711.000000000001,19.9999999999998)); #6354=CARTESIAN_POINT('',(-24.,711.000000000001,22.5999999999998)); #6355=CARTESIAN_POINT('',(-24.,678.,19.9999999999998)); #6356=CARTESIAN_POINT('',(-24.,678.,22.5999999999998)); #6357=CARTESIAN_POINT('',(-24.,645.,19.9999999999998)); #6358=CARTESIAN_POINT('',(-24.,645.,22.5999999999998)); #6359=CARTESIAN_POINT('',(-24.,612.,19.9999999999998)); #6360=CARTESIAN_POINT('',(-24.,612.,22.5999999999998)); #6361=CARTESIAN_POINT('',(-24.,579.,19.9999999999998)); #6362=CARTESIAN_POINT('',(-24.,579.,22.5999999999998)); #6363=CARTESIAN_POINT('',(-24.,546.,19.9999999999998)); #6364=CARTESIAN_POINT('',(-24.,546.,22.5999999999998)); #6365=CARTESIAN_POINT('',(-24.,513.,19.9999999999998)); #6366=CARTESIAN_POINT('',(-24.,513.,22.5999999999998)); #6367=CARTESIAN_POINT('',(-24.,480.,19.9999999999998)); #6368=CARTESIAN_POINT('',(-24.,480.,22.5999999999998)); #6369=CARTESIAN_POINT('',(-24.,447.,19.9999999999998)); #6370=CARTESIAN_POINT('',(-24.,447.,22.5999999999998)); #6371=CARTESIAN_POINT('',(-24.,414.,19.9999999999998)); #6372=CARTESIAN_POINT('',(-24.,414.,22.5999999999998)); #6373=CARTESIAN_POINT('',(-24.,381.,19.9999999999998)); #6374=CARTESIAN_POINT('',(-24.,381.,22.5999999999998)); #6375=CARTESIAN_POINT('',(-24.,348.,19.9999999999998)); #6376=CARTESIAN_POINT('',(-24.,348.,22.5999999999998)); #6377=CARTESIAN_POINT('',(-24.,315.,19.9999999999998)); #6378=CARTESIAN_POINT('',(-24.,315.,22.5999999999998)); #6379=CARTESIAN_POINT('',(-24.,282.,19.9999999999998)); #6380=CARTESIAN_POINT('',(-24.,282.,22.5999999999998)); #6381=CARTESIAN_POINT('',(-24.,249.,19.9999999999998)); #6382=CARTESIAN_POINT('',(-24.,249.,22.5999999999998)); #6383=CARTESIAN_POINT('',(-24.,216.,19.9999999999998)); #6384=CARTESIAN_POINT('',(-24.,216.,22.5999999999998)); #6385=CARTESIAN_POINT('',(-24.,183.,19.9999999999998)); #6386=CARTESIAN_POINT('',(-24.,183.,22.5999999999998)); #6387=CARTESIAN_POINT('',(-24.,150.,19.9999999999998)); #6388=CARTESIAN_POINT('',(-24.,150.,22.5999999999998)); #6389=CARTESIAN_POINT('',(-24.,117.,19.9999999999998)); #6390=CARTESIAN_POINT('',(-24.,117.,22.5999999999998)); #6391=CARTESIAN_POINT('',(-24.,84.0000000000001,19.9999999999998)); #6392=CARTESIAN_POINT('',(-24.,84.0000000000001,22.5999999999998)); #6393=CARTESIAN_POINT('',(-24.,50.9999999999999,19.9999999999998)); #6394=CARTESIAN_POINT('',(-24.,50.9999999999999,22.5999999999998)); #6395=CARTESIAN_POINT('',(-24.,18.,20.)); #6396=CARTESIAN_POINT('',(-24.,18.,22.6)); #6397=CARTESIAN_POINT('',(26.,0.,0.)); #6398=CARTESIAN_POINT('',(26.,1965.,20.)); #6399=CARTESIAN_POINT('',(26.,1965.,17.4)); #6400=CARTESIAN_POINT('',(26.,1932.,20.)); #6401=CARTESIAN_POINT('',(26.,1932.,17.4)); #6402=CARTESIAN_POINT('',(26.,1899.,20.)); #6403=CARTESIAN_POINT('',(26.,1899.,17.4)); #6404=CARTESIAN_POINT('',(26.,1866.,20.)); #6405=CARTESIAN_POINT('',(26.,1866.,17.4)); #6406=CARTESIAN_POINT('',(26.,1833.,20.)); #6407=CARTESIAN_POINT('',(26.,1833.,17.4)); #6408=CARTESIAN_POINT('',(26.,1800.,20.)); #6409=CARTESIAN_POINT('',(26.,1800.,17.4)); #6410=CARTESIAN_POINT('',(26.,1767.,20.)); #6411=CARTESIAN_POINT('',(26.,1767.,17.4)); #6412=CARTESIAN_POINT('',(26.,1734.,20.)); #6413=CARTESIAN_POINT('',(26.,1734.,17.4)); #6414=CARTESIAN_POINT('',(26.,1701.,20.)); #6415=CARTESIAN_POINT('',(26.,1701.,17.4)); #6416=CARTESIAN_POINT('',(26.,1668.,20.)); #6417=CARTESIAN_POINT('',(26.,1668.,17.4)); #6418=CARTESIAN_POINT('',(26.,1635.,20.)); #6419=CARTESIAN_POINT('',(26.,1635.,17.4)); #6420=CARTESIAN_POINT('',(26.,1602.,20.)); #6421=CARTESIAN_POINT('',(26.,1602.,17.4)); #6422=CARTESIAN_POINT('',(26.,1569.,20.)); #6423=CARTESIAN_POINT('',(26.,1569.,17.4)); #6424=CARTESIAN_POINT('',(26.,1536.,20.)); #6425=CARTESIAN_POINT('',(26.,1536.,17.4)); #6426=CARTESIAN_POINT('',(26.,1503.,20.)); #6427=CARTESIAN_POINT('',(26.,1503.,17.4)); #6428=CARTESIAN_POINT('',(26.,1470.,20.)); #6429=CARTESIAN_POINT('',(26.,1470.,17.4)); #6430=CARTESIAN_POINT('',(26.,1437.,20.)); #6431=CARTESIAN_POINT('',(26.,1437.,17.4)); #6432=CARTESIAN_POINT('',(26.,1404.,20.)); #6433=CARTESIAN_POINT('',(26.,1404.,17.4)); #6434=CARTESIAN_POINT('',(26.,1371.,20.)); #6435=CARTESIAN_POINT('',(26.,1371.,17.4)); #6436=CARTESIAN_POINT('',(26.,1338.,20.)); #6437=CARTESIAN_POINT('',(26.,1338.,17.4)); #6438=CARTESIAN_POINT('',(26.,1305.,20.)); #6439=CARTESIAN_POINT('',(26.,1305.,17.4)); #6440=CARTESIAN_POINT('',(26.,1272.,20.)); #6441=CARTESIAN_POINT('',(26.,1272.,17.4)); #6442=CARTESIAN_POINT('',(26.,1239.,20.)); #6443=CARTESIAN_POINT('',(26.,1239.,17.4)); #6444=CARTESIAN_POINT('',(26.,1206.,20.)); #6445=CARTESIAN_POINT('',(26.,1206.,17.4)); #6446=CARTESIAN_POINT('',(26.,1173.,20.)); #6447=CARTESIAN_POINT('',(26.,1173.,17.4)); #6448=CARTESIAN_POINT('',(26.,1140.,20.)); #6449=CARTESIAN_POINT('',(26.,1140.,17.4)); #6450=CARTESIAN_POINT('',(26.,1107.,20.)); #6451=CARTESIAN_POINT('',(26.,1107.,17.4)); #6452=CARTESIAN_POINT('',(26.,1074.,20.)); #6453=CARTESIAN_POINT('',(26.,1074.,17.4)); #6454=CARTESIAN_POINT('',(26.,1041.,20.)); #6455=CARTESIAN_POINT('',(26.,1041.,17.4)); #6456=CARTESIAN_POINT('',(26.,1008.,20.)); #6457=CARTESIAN_POINT('',(26.,1008.,17.4)); #6458=CARTESIAN_POINT('',(26.,975.000000000001,20.)); #6459=CARTESIAN_POINT('',(26.,975.000000000001,17.4)); #6460=CARTESIAN_POINT('',(26.,942.000000000001,20.)); #6461=CARTESIAN_POINT('',(26.,942.000000000001,17.4)); #6462=CARTESIAN_POINT('',(26.,909.,20.)); #6463=CARTESIAN_POINT('',(26.,909.,17.4)); #6464=CARTESIAN_POINT('',(26.,876.000000000001,20.)); #6465=CARTESIAN_POINT('',(26.,876.000000000001,17.4)); #6466=CARTESIAN_POINT('',(26.,843.000000000001,20.)); #6467=CARTESIAN_POINT('',(26.,843.000000000001,17.4)); #6468=CARTESIAN_POINT('',(26.,810.,20.)); #6469=CARTESIAN_POINT('',(26.,810.,17.4)); #6470=CARTESIAN_POINT('',(26.,777.,20.)); #6471=CARTESIAN_POINT('',(26.,777.,17.4)); #6472=CARTESIAN_POINT('',(26.,744.,20.)); #6473=CARTESIAN_POINT('',(26.,744.,17.4)); #6474=CARTESIAN_POINT('',(26.,711.000000000001,20.)); #6475=CARTESIAN_POINT('',(26.,711.000000000001,17.4)); #6476=CARTESIAN_POINT('',(26.,678.,20.)); #6477=CARTESIAN_POINT('',(26.,678.,17.4)); #6478=CARTESIAN_POINT('',(26.,645.,20.)); #6479=CARTESIAN_POINT('',(26.,645.,17.4)); #6480=CARTESIAN_POINT('',(26.,612.,20.)); #6481=CARTESIAN_POINT('',(26.,612.,17.4)); #6482=CARTESIAN_POINT('',(26.,579.,20.)); #6483=CARTESIAN_POINT('',(26.,579.,17.4)); #6484=CARTESIAN_POINT('',(26.,546.,20.)); #6485=CARTESIAN_POINT('',(26.,546.,17.4)); #6486=CARTESIAN_POINT('',(26.,513.,20.)); #6487=CARTESIAN_POINT('',(26.,513.,17.4)); #6488=CARTESIAN_POINT('',(26.,480.,20.)); #6489=CARTESIAN_POINT('',(26.,480.,17.4)); #6490=CARTESIAN_POINT('',(26.,447.,20.)); #6491=CARTESIAN_POINT('',(26.,447.,17.4)); #6492=CARTESIAN_POINT('',(26.,414.,20.)); #6493=CARTESIAN_POINT('',(26.,414.,17.4)); #6494=CARTESIAN_POINT('',(26.,381.,20.)); #6495=CARTESIAN_POINT('',(26.,381.,17.4)); #6496=CARTESIAN_POINT('',(26.,348.,20.)); #6497=CARTESIAN_POINT('',(26.,348.,17.4)); #6498=CARTESIAN_POINT('',(26.,315.,20.)); #6499=CARTESIAN_POINT('',(26.,315.,17.4)); #6500=CARTESIAN_POINT('',(26.,282.,20.)); #6501=CARTESIAN_POINT('',(26.,282.,17.4)); #6502=CARTESIAN_POINT('',(26.,249.,20.)); #6503=CARTESIAN_POINT('',(26.,249.,17.4)); #6504=CARTESIAN_POINT('',(26.,216.,20.)); #6505=CARTESIAN_POINT('',(26.,216.,17.4)); #6506=CARTESIAN_POINT('',(26.,183.,20.)); #6507=CARTESIAN_POINT('',(26.,183.,17.4)); #6508=CARTESIAN_POINT('',(26.,150.,20.)); #6509=CARTESIAN_POINT('',(26.,150.,17.4)); #6510=CARTESIAN_POINT('',(26.,117.,20.)); #6511=CARTESIAN_POINT('',(26.,117.,17.4)); #6512=CARTESIAN_POINT('',(26.,84.0000000000001,20.)); #6513=CARTESIAN_POINT('',(26.,84.0000000000001,17.4)); #6514=CARTESIAN_POINT('',(26.,50.9999999999999,20.)); #6515=CARTESIAN_POINT('',(26.,50.9999999999999,17.4)); #6516=CARTESIAN_POINT('',(26.,18.,20.)); #6517=CARTESIAN_POINT('',(26.,18.,17.4)); #6518=CARTESIAN_POINT('',(26.,0.,4.)); #6519=CARTESIAN_POINT('',(22.,0.,4.)); #6520=CARTESIAN_POINT('',(22.,0.,0.)); #6521=CARTESIAN_POINT('',(-26.,0.,0.)); #6522=CARTESIAN_POINT('',(4.69185574067757E-15,1948.5,0.)); #6523=CARTESIAN_POINT('',(4.69185574067757E-15,1950.6,0.)); #6524=CARTESIAN_POINT('',(6.50521303491303E-16,1915.5,-1.67921232474555E-15)); #6525=CARTESIAN_POINT('',(-2.1,1915.5,-1.51892387556529E-15)); #6526=CARTESIAN_POINT('',(6.50521303491303E-16,1849.5,-1.67921232474555E-15)); #6527=CARTESIAN_POINT('',(-2.1,1849.5,-1.51892387556529E-15)); #6528=CARTESIAN_POINT('',(6.50521303491303E-16,1783.5,-1.67921232474555E-15)); #6529=CARTESIAN_POINT('',(-2.1,1783.5,-1.51892387556529E-15)); #6530=CARTESIAN_POINT('',(6.50521303491303E-16,1717.5,-1.67921232474555E-15)); #6531=CARTESIAN_POINT('',(-2.1,1717.5,-1.51892387556529E-15)); #6532=CARTESIAN_POINT('',(6.50521303491303E-16,1651.5,-1.67921232474555E-15)); #6533=CARTESIAN_POINT('',(-2.1,1651.5,-1.51892387556529E-15)); #6534=CARTESIAN_POINT('',(6.50521303491303E-16,1585.5,-1.67921232474555E-15)); #6535=CARTESIAN_POINT('',(-2.1,1585.5,-1.51892387556529E-15)); #6536=CARTESIAN_POINT('',(6.50521303491303E-16,1519.5,-1.67921232474555E-15)); #6537=CARTESIAN_POINT('',(-2.1,1519.5,-1.51892387556529E-15)); #6538=CARTESIAN_POINT('',(6.50521303491303E-16,1453.5,-1.67921232474555E-15)); #6539=CARTESIAN_POINT('',(-2.1,1453.5,-1.51892387556529E-15)); #6540=CARTESIAN_POINT('',(6.50521303491303E-16,1387.5,-1.67921232474555E-15)); #6541=CARTESIAN_POINT('',(-2.1,1387.5,-1.51892387556529E-15)); #6542=CARTESIAN_POINT('',(6.50521303491303E-16,1321.5,-1.67921232474555E-15)); #6543=CARTESIAN_POINT('',(-2.1,1321.5,-1.51892387556529E-15)); #6544=CARTESIAN_POINT('',(6.50521303491303E-16,1255.5,-1.67921232474555E-15)); #6545=CARTESIAN_POINT('',(-2.1,1255.5,-1.51892387556529E-15)); #6546=CARTESIAN_POINT('',(6.50521303491303E-16,1189.5,-1.67921232474555E-15)); #6547=CARTESIAN_POINT('',(-2.1,1189.5,-1.51892387556529E-15)); #6548=CARTESIAN_POINT('',(6.50521303491303E-16,1123.5,-1.67921232474555E-15)); #6549=CARTESIAN_POINT('',(-2.1,1123.5,-1.51892387556529E-15)); #6550=CARTESIAN_POINT('',(6.50521303491303E-16,1057.5,-1.67921232474555E-15)); #6551=CARTESIAN_POINT('',(-2.1,1057.5,-1.51892387556529E-15)); #6552=CARTESIAN_POINT('',(6.50521303491303E-16,991.5,-1.67921232474555E-15)); #6553=CARTESIAN_POINT('',(-2.1,991.5,-1.51892387556529E-15)); #6554=CARTESIAN_POINT('',(6.50521303491303E-16,925.5,-1.67921232474555E-15)); #6555=CARTESIAN_POINT('',(-2.1,925.5,-1.51892387556529E-15)); #6556=CARTESIAN_POINT('',(6.50521303491303E-16,859.5,-1.67921232474555E-15)); #6557=CARTESIAN_POINT('',(-2.1,859.5,-1.51892387556529E-15)); #6558=CARTESIAN_POINT('',(6.50521303491303E-16,793.5,-1.67921232474555E-15)); #6559=CARTESIAN_POINT('',(-2.1,793.5,-1.51892387556529E-15)); #6560=CARTESIAN_POINT('',(6.50521303491303E-16,727.5,-1.67921232474555E-15)); #6561=CARTESIAN_POINT('',(-2.1,727.5,-1.51892387556529E-15)); #6562=CARTESIAN_POINT('',(6.50521303491303E-16,661.5,-1.67921232474555E-15)); #6563=CARTESIAN_POINT('',(-2.1,661.5,-1.51892387556529E-15)); #6564=CARTESIAN_POINT('',(6.50521303491303E-16,595.5,-1.67921232474555E-15)); #6565=CARTESIAN_POINT('',(-2.1,595.5,-1.51892387556529E-15)); #6566=CARTESIAN_POINT('',(6.50521303491303E-16,529.5,-1.67921232474555E-15)); #6567=CARTESIAN_POINT('',(-2.1,529.5,-1.51892387556529E-15)); #6568=CARTESIAN_POINT('',(6.50521303491303E-16,463.5,-1.67921232474555E-15)); #6569=CARTESIAN_POINT('',(-2.1,463.5,-1.51892387556529E-15)); #6570=CARTESIAN_POINT('',(6.50521303491303E-16,397.5,-1.67921232474555E-15)); #6571=CARTESIAN_POINT('',(-2.1,397.5,-1.51892387556529E-15)); #6572=CARTESIAN_POINT('',(6.50521303491303E-16,331.5,-1.67921232474555E-15)); #6573=CARTESIAN_POINT('',(-2.1,331.5,-1.51892387556529E-15)); #6574=CARTESIAN_POINT('',(6.50521303491303E-16,265.5,-1.67921232474555E-15)); #6575=CARTESIAN_POINT('',(-2.1,265.5,-1.51892387556529E-15)); #6576=CARTESIAN_POINT('',(6.50521303491303E-16,199.5,-1.67921232474555E-15)); #6577=CARTESIAN_POINT('',(-2.1,199.5,-1.51892387556529E-15)); #6578=CARTESIAN_POINT('',(6.50521303491303E-16,133.5,-1.67921232474555E-15)); #6579=CARTESIAN_POINT('',(-2.1,133.5,-1.51892387556529E-15)); #6580=CARTESIAN_POINT('',(6.50521303491303E-16,67.5,0.)); #6581=CARTESIAN_POINT('',(6.50521303491303E-16,69.6,0.)); #6582=CARTESIAN_POINT('',(5.41888311566402E-15,34.5,0.)); #6583=CARTESIAN_POINT('',(5.41888311566402E-15,36.6,0.)); #6584=CARTESIAN_POINT('',(-22.,0.,0.)); #6585=CARTESIAN_POINT('',(-22.,0.,4.)); #6586=CARTESIAN_POINT('',(-26.,0.,4.)); #6587=CARTESIAN_POINT('',(-26.,0.,0.)); #6588=CARTESIAN_POINT('',(-26.,1965.,19.9999999999998)); #6589=CARTESIAN_POINT('',(-26.,1965.,22.5999999999998)); #6590=CARTESIAN_POINT('',(-26.,1932.,19.9999999999998)); #6591=CARTESIAN_POINT('',(-26.,1932.,22.5999999999998)); #6592=CARTESIAN_POINT('',(-26.,1899.,19.9999999999998)); #6593=CARTESIAN_POINT('',(-26.,1899.,22.5999999999998)); #6594=CARTESIAN_POINT('',(-26.,1866.,19.9999999999998)); #6595=CARTESIAN_POINT('',(-26.,1866.,22.5999999999998)); #6596=CARTESIAN_POINT('',(-26.,1833.,19.9999999999998)); #6597=CARTESIAN_POINT('',(-26.,1833.,22.5999999999998)); #6598=CARTESIAN_POINT('',(-26.,1800.,19.9999999999998)); #6599=CARTESIAN_POINT('',(-26.,1800.,22.5999999999998)); #6600=CARTESIAN_POINT('',(-26.,1767.,19.9999999999998)); #6601=CARTESIAN_POINT('',(-26.,1767.,22.5999999999998)); #6602=CARTESIAN_POINT('',(-26.,1734.,19.9999999999998)); #6603=CARTESIAN_POINT('',(-26.,1734.,22.5999999999998)); #6604=CARTESIAN_POINT('',(-26.,1701.,19.9999999999998)); #6605=CARTESIAN_POINT('',(-26.,1701.,22.5999999999998)); #6606=CARTESIAN_POINT('',(-26.,1668.,19.9999999999998)); #6607=CARTESIAN_POINT('',(-26.,1668.,22.5999999999998)); #6608=CARTESIAN_POINT('',(-26.,1635.,19.9999999999998)); #6609=CARTESIAN_POINT('',(-26.,1635.,22.5999999999998)); #6610=CARTESIAN_POINT('',(-26.,1602.,19.9999999999998)); #6611=CARTESIAN_POINT('',(-26.,1602.,22.5999999999998)); #6612=CARTESIAN_POINT('',(-26.,1569.,19.9999999999998)); #6613=CARTESIAN_POINT('',(-26.,1569.,22.5999999999998)); #6614=CARTESIAN_POINT('',(-26.,1536.,19.9999999999998)); #6615=CARTESIAN_POINT('',(-26.,1536.,22.5999999999998)); #6616=CARTESIAN_POINT('',(-26.,1503.,19.9999999999998)); #6617=CARTESIAN_POINT('',(-26.,1503.,22.5999999999998)); #6618=CARTESIAN_POINT('',(-26.,1470.,19.9999999999998)); #6619=CARTESIAN_POINT('',(-26.,1470.,22.5999999999998)); #6620=CARTESIAN_POINT('',(-26.,1437.,19.9999999999998)); #6621=CARTESIAN_POINT('',(-26.,1437.,22.5999999999998)); #6622=CARTESIAN_POINT('',(-26.,1404.,19.9999999999998)); #6623=CARTESIAN_POINT('',(-26.,1404.,22.5999999999998)); #6624=CARTESIAN_POINT('',(-26.,1371.,19.9999999999998)); #6625=CARTESIAN_POINT('',(-26.,1371.,22.5999999999998)); #6626=CARTESIAN_POINT('',(-26.,1338.,19.9999999999998)); #6627=CARTESIAN_POINT('',(-26.,1338.,22.5999999999998)); #6628=CARTESIAN_POINT('',(-26.,1305.,19.9999999999998)); #6629=CARTESIAN_POINT('',(-26.,1305.,22.5999999999998)); #6630=CARTESIAN_POINT('',(-26.,1272.,19.9999999999998)); #6631=CARTESIAN_POINT('',(-26.,1272.,22.5999999999998)); #6632=CARTESIAN_POINT('',(-26.,1239.,19.9999999999998)); #6633=CARTESIAN_POINT('',(-26.,1239.,22.5999999999998)); #6634=CARTESIAN_POINT('',(-26.,1206.,19.9999999999998)); #6635=CARTESIAN_POINT('',(-26.,1206.,22.5999999999998)); #6636=CARTESIAN_POINT('',(-26.,1173.,19.9999999999998)); #6637=CARTESIAN_POINT('',(-26.,1173.,22.5999999999998)); #6638=CARTESIAN_POINT('',(-26.,1140.,19.9999999999998)); #6639=CARTESIAN_POINT('',(-26.,1140.,22.5999999999998)); #6640=CARTESIAN_POINT('',(-26.,1107.,19.9999999999998)); #6641=CARTESIAN_POINT('',(-26.,1107.,22.5999999999998)); #6642=CARTESIAN_POINT('',(-26.,1074.,19.9999999999998)); #6643=CARTESIAN_POINT('',(-26.,1074.,22.5999999999998)); #6644=CARTESIAN_POINT('',(-26.,1041.,19.9999999999998)); #6645=CARTESIAN_POINT('',(-26.,1041.,22.5999999999998)); #6646=CARTESIAN_POINT('',(-26.,1008.,19.9999999999998)); #6647=CARTESIAN_POINT('',(-26.,1008.,22.5999999999998)); #6648=CARTESIAN_POINT('',(-26.,975.000000000001,19.9999999999998)); #6649=CARTESIAN_POINT('',(-26.,975.000000000001,22.5999999999998)); #6650=CARTESIAN_POINT('',(-26.,942.000000000001,19.9999999999998)); #6651=CARTESIAN_POINT('',(-26.,942.000000000001,22.5999999999998)); #6652=CARTESIAN_POINT('',(-26.,909.,19.9999999999998)); #6653=CARTESIAN_POINT('',(-26.,909.,22.5999999999998)); #6654=CARTESIAN_POINT('',(-26.,876.000000000001,19.9999999999998)); #6655=CARTESIAN_POINT('',(-26.,876.000000000001,22.5999999999998)); #6656=CARTESIAN_POINT('',(-26.,843.000000000001,19.9999999999998)); #6657=CARTESIAN_POINT('',(-26.,843.000000000001,22.5999999999998)); #6658=CARTESIAN_POINT('',(-26.,810.,19.9999999999998)); #6659=CARTESIAN_POINT('',(-26.,810.,22.5999999999998)); #6660=CARTESIAN_POINT('',(-26.,777.,19.9999999999998)); #6661=CARTESIAN_POINT('',(-26.,777.,22.5999999999998)); #6662=CARTESIAN_POINT('',(-26.,744.,19.9999999999998)); #6663=CARTESIAN_POINT('',(-26.,744.,22.5999999999998)); #6664=CARTESIAN_POINT('',(-26.,711.000000000001,19.9999999999998)); #6665=CARTESIAN_POINT('',(-26.,711.000000000001,22.5999999999998)); #6666=CARTESIAN_POINT('',(-26.,678.,19.9999999999998)); #6667=CARTESIAN_POINT('',(-26.,678.,22.5999999999998)); #6668=CARTESIAN_POINT('',(-26.,645.,19.9999999999998)); #6669=CARTESIAN_POINT('',(-26.,645.,22.5999999999998)); #6670=CARTESIAN_POINT('',(-26.,612.,19.9999999999998)); #6671=CARTESIAN_POINT('',(-26.,612.,22.5999999999998)); #6672=CARTESIAN_POINT('',(-26.,579.,19.9999999999998)); #6673=CARTESIAN_POINT('',(-26.,579.,22.5999999999998)); #6674=CARTESIAN_POINT('',(-26.,546.,19.9999999999998)); #6675=CARTESIAN_POINT('',(-26.,546.,22.5999999999998)); #6676=CARTESIAN_POINT('',(-26.,513.,19.9999999999998)); #6677=CARTESIAN_POINT('',(-26.,513.,22.5999999999998)); #6678=CARTESIAN_POINT('',(-26.,480.,19.9999999999998)); #6679=CARTESIAN_POINT('',(-26.,480.,22.5999999999998)); #6680=CARTESIAN_POINT('',(-26.,447.,19.9999999999998)); #6681=CARTESIAN_POINT('',(-26.,447.,22.5999999999998)); #6682=CARTESIAN_POINT('',(-26.,414.,19.9999999999998)); #6683=CARTESIAN_POINT('',(-26.,414.,22.5999999999998)); #6684=CARTESIAN_POINT('',(-26.,381.,19.9999999999998)); #6685=CARTESIAN_POINT('',(-26.,381.,22.5999999999998)); #6686=CARTESIAN_POINT('',(-26.,348.,19.9999999999998)); #6687=CARTESIAN_POINT('',(-26.,348.,22.5999999999998)); #6688=CARTESIAN_POINT('',(-26.,315.,19.9999999999998)); #6689=CARTESIAN_POINT('',(-26.,315.,22.5999999999998)); #6690=CARTESIAN_POINT('',(-26.,282.,19.9999999999998)); #6691=CARTESIAN_POINT('',(-26.,282.,22.5999999999998)); #6692=CARTESIAN_POINT('',(-26.,249.,19.9999999999998)); #6693=CARTESIAN_POINT('',(-26.,249.,22.5999999999998)); #6694=CARTESIAN_POINT('',(-26.,216.,19.9999999999998)); #6695=CARTESIAN_POINT('',(-26.,216.,22.5999999999998)); #6696=CARTESIAN_POINT('',(-26.,183.,19.9999999999998)); #6697=CARTESIAN_POINT('',(-26.,183.,22.5999999999998)); #6698=CARTESIAN_POINT('',(-26.,150.,19.9999999999998)); #6699=CARTESIAN_POINT('',(-26.,150.,22.5999999999998)); #6700=CARTESIAN_POINT('',(-26.,117.,19.9999999999998)); #6701=CARTESIAN_POINT('',(-26.,117.,22.5999999999998)); #6702=CARTESIAN_POINT('',(-26.,84.0000000000001,19.9999999999998)); #6703=CARTESIAN_POINT('',(-26.,84.0000000000001,22.5999999999998)); #6704=CARTESIAN_POINT('',(-26.,50.9999999999999,19.9999999999998)); #6705=CARTESIAN_POINT('',(-26.,50.9999999999999,22.5999999999998)); #6706=CARTESIAN_POINT('',(-26.,18.,20.)); #6707=CARTESIAN_POINT('',(-26.,18.,22.6)); #6708=CARTESIAN_POINT('',(26.,18.,20.)); #6709=CARTESIAN_POINT('',(26.,18.,20.)); #6710=CARTESIAN_POINT('',(26.,50.9999999999999,20.)); #6711=CARTESIAN_POINT('',(26.,50.9999999999999,20.)); #6712=CARTESIAN_POINT('',(26.,84.0000000000001,20.)); #6713=CARTESIAN_POINT('',(26.,84.0000000000001,20.)); #6714=CARTESIAN_POINT('',(26.,117.,20.)); #6715=CARTESIAN_POINT('',(26.,117.,20.)); #6716=CARTESIAN_POINT('',(26.,150.,20.)); #6717=CARTESIAN_POINT('',(26.,150.,20.)); #6718=CARTESIAN_POINT('',(26.,183.,20.)); #6719=CARTESIAN_POINT('',(26.,183.,20.)); #6720=CARTESIAN_POINT('',(26.,216.,20.)); #6721=CARTESIAN_POINT('',(26.,216.,20.)); #6722=CARTESIAN_POINT('',(26.,249.,20.)); #6723=CARTESIAN_POINT('',(26.,249.,20.)); #6724=CARTESIAN_POINT('',(26.,282.,20.)); #6725=CARTESIAN_POINT('',(26.,282.,20.)); #6726=CARTESIAN_POINT('',(26.,315.,20.)); #6727=CARTESIAN_POINT('',(26.,315.,20.)); #6728=CARTESIAN_POINT('',(26.,348.,20.)); #6729=CARTESIAN_POINT('',(26.,348.,20.)); #6730=CARTESIAN_POINT('',(26.,381.,20.)); #6731=CARTESIAN_POINT('',(26.,381.,20.)); #6732=CARTESIAN_POINT('',(26.,414.,20.)); #6733=CARTESIAN_POINT('',(26.,414.,20.)); #6734=CARTESIAN_POINT('',(26.,447.,20.)); #6735=CARTESIAN_POINT('',(26.,447.,20.)); #6736=CARTESIAN_POINT('',(26.,480.,20.)); #6737=CARTESIAN_POINT('',(26.,480.,20.)); #6738=CARTESIAN_POINT('',(26.,513.,20.)); #6739=CARTESIAN_POINT('',(26.,513.,20.)); #6740=CARTESIAN_POINT('',(26.,546.,20.)); #6741=CARTESIAN_POINT('',(26.,546.,20.)); #6742=CARTESIAN_POINT('',(26.,579.,20.)); #6743=CARTESIAN_POINT('',(26.,579.,20.)); #6744=CARTESIAN_POINT('',(26.,612.,20.)); #6745=CARTESIAN_POINT('',(26.,612.,20.)); #6746=CARTESIAN_POINT('',(26.,645.,20.)); #6747=CARTESIAN_POINT('',(26.,645.,20.)); #6748=CARTESIAN_POINT('',(26.,678.,20.)); #6749=CARTESIAN_POINT('',(26.,678.,20.)); #6750=CARTESIAN_POINT('',(26.,711.000000000001,20.)); #6751=CARTESIAN_POINT('',(26.,711.000000000001,20.)); #6752=CARTESIAN_POINT('',(26.,744.,20.)); #6753=CARTESIAN_POINT('',(26.,744.,20.)); #6754=CARTESIAN_POINT('',(26.,777.,20.)); #6755=CARTESIAN_POINT('',(26.,777.,20.)); #6756=CARTESIAN_POINT('',(26.,810.,20.)); #6757=CARTESIAN_POINT('',(26.,810.,20.)); #6758=CARTESIAN_POINT('',(26.,843.000000000001,20.)); #6759=CARTESIAN_POINT('',(26.,843.000000000001,20.)); #6760=CARTESIAN_POINT('',(26.,876.000000000001,20.)); #6761=CARTESIAN_POINT('',(26.,876.000000000001,20.)); #6762=CARTESIAN_POINT('',(26.,909.,20.)); #6763=CARTESIAN_POINT('',(26.,909.,20.)); #6764=CARTESIAN_POINT('',(26.,942.000000000001,20.)); #6765=CARTESIAN_POINT('',(26.,942.000000000001,20.)); #6766=CARTESIAN_POINT('',(26.,975.000000000001,20.)); #6767=CARTESIAN_POINT('',(26.,975.000000000001,20.)); #6768=CARTESIAN_POINT('',(26.,1008.,20.)); #6769=CARTESIAN_POINT('',(26.,1008.,20.)); #6770=CARTESIAN_POINT('',(26.,1041.,20.)); #6771=CARTESIAN_POINT('',(26.,1041.,20.)); #6772=CARTESIAN_POINT('',(26.,1074.,20.)); #6773=CARTESIAN_POINT('',(26.,1074.,20.)); #6774=CARTESIAN_POINT('',(26.,1107.,20.)); #6775=CARTESIAN_POINT('',(26.,1107.,20.)); #6776=CARTESIAN_POINT('',(26.,1140.,20.)); #6777=CARTESIAN_POINT('',(26.,1140.,20.)); #6778=CARTESIAN_POINT('',(26.,1173.,20.)); #6779=CARTESIAN_POINT('',(26.,1173.,20.)); #6780=CARTESIAN_POINT('',(26.,1206.,20.)); #6781=CARTESIAN_POINT('',(26.,1206.,20.)); #6782=CARTESIAN_POINT('',(26.,1239.,20.)); #6783=CARTESIAN_POINT('',(26.,1239.,20.)); #6784=CARTESIAN_POINT('',(26.,1272.,20.)); #6785=CARTESIAN_POINT('',(26.,1272.,20.)); #6786=CARTESIAN_POINT('',(26.,1305.,20.)); #6787=CARTESIAN_POINT('',(26.,1305.,20.)); #6788=CARTESIAN_POINT('',(26.,1338.,20.)); #6789=CARTESIAN_POINT('',(26.,1338.,20.)); #6790=CARTESIAN_POINT('',(26.,1371.,20.)); #6791=CARTESIAN_POINT('',(26.,1371.,20.)); #6792=CARTESIAN_POINT('',(26.,1404.,20.)); #6793=CARTESIAN_POINT('',(26.,1404.,20.)); #6794=CARTESIAN_POINT('',(26.,1437.,20.)); #6795=CARTESIAN_POINT('',(26.,1437.,20.)); #6796=CARTESIAN_POINT('',(26.,1470.,20.)); #6797=CARTESIAN_POINT('',(26.,1470.,20.)); #6798=CARTESIAN_POINT('',(26.,1503.,20.)); #6799=CARTESIAN_POINT('',(26.,1503.,20.)); #6800=CARTESIAN_POINT('',(26.,1536.,20.)); #6801=CARTESIAN_POINT('',(26.,1536.,20.)); #6802=CARTESIAN_POINT('',(26.,1569.,20.)); #6803=CARTESIAN_POINT('',(26.,1569.,20.)); #6804=CARTESIAN_POINT('',(26.,1602.,20.)); #6805=CARTESIAN_POINT('',(26.,1602.,20.)); #6806=CARTESIAN_POINT('',(26.,1635.,20.)); #6807=CARTESIAN_POINT('',(26.,1635.,20.)); #6808=CARTESIAN_POINT('',(26.,1668.,20.)); #6809=CARTESIAN_POINT('',(26.,1668.,20.)); #6810=CARTESIAN_POINT('',(26.,1701.,20.)); #6811=CARTESIAN_POINT('',(26.,1701.,20.)); #6812=CARTESIAN_POINT('',(26.,1734.,20.)); #6813=CARTESIAN_POINT('',(26.,1734.,20.)); #6814=CARTESIAN_POINT('',(26.,1767.,20.)); #6815=CARTESIAN_POINT('',(26.,1767.,20.)); #6816=CARTESIAN_POINT('',(26.,1800.,20.)); #6817=CARTESIAN_POINT('',(26.,1800.,20.)); #6818=CARTESIAN_POINT('',(26.,1833.,20.)); #6819=CARTESIAN_POINT('',(26.,1833.,20.)); #6820=CARTESIAN_POINT('',(26.,1866.,20.)); #6821=CARTESIAN_POINT('',(26.,1866.,20.)); #6822=CARTESIAN_POINT('',(26.,1899.,20.)); #6823=CARTESIAN_POINT('',(26.,1899.,20.)); #6824=CARTESIAN_POINT('',(26.,1932.,20.)); #6825=CARTESIAN_POINT('',(26.,1932.,20.)); #6826=CARTESIAN_POINT('',(26.,1965.,20.)); #6827=CARTESIAN_POINT('',(26.,1965.,20.)); #6828=CARTESIAN_POINT('',(5.41888311566402E-15,34.5,2000.832076912)); #6829=CARTESIAN_POINT('',(6.50521303491303E-16,67.5,0.)); #6830=CARTESIAN_POINT('',(6.50521303491303E-16,133.5,-1.67921232474555E-15)); #6831=CARTESIAN_POINT('',(6.50521303491303E-16,199.5,-1.67921232474555E-15)); #6832=CARTESIAN_POINT('',(6.50521303491303E-16,265.5,-1.67921232474555E-15)); #6833=CARTESIAN_POINT('',(6.50521303491303E-16,331.5,-1.67921232474555E-15)); #6834=CARTESIAN_POINT('',(6.50521303491303E-16,397.5,-1.67921232474555E-15)); #6835=CARTESIAN_POINT('',(6.50521303491303E-16,463.5,-1.67921232474555E-15)); #6836=CARTESIAN_POINT('',(6.50521303491303E-16,529.5,-1.67921232474555E-15)); #6837=CARTESIAN_POINT('',(6.50521303491303E-16,595.5,-1.67921232474555E-15)); #6838=CARTESIAN_POINT('',(6.50521303491303E-16,661.5,-1.67921232474555E-15)); #6839=CARTESIAN_POINT('',(6.50521303491303E-16,727.5,-1.67921232474555E-15)); #6840=CARTESIAN_POINT('',(6.50521303491303E-16,793.5,-1.67921232474555E-15)); #6841=CARTESIAN_POINT('',(6.50521303491303E-16,859.5,-1.67921232474555E-15)); #6842=CARTESIAN_POINT('',(6.50521303491303E-16,925.5,-1.67921232474555E-15)); #6843=CARTESIAN_POINT('',(6.50521303491303E-16,991.5,-1.67921232474555E-15)); #6844=CARTESIAN_POINT('',(6.50521303491303E-16,1057.5,-1.67921232474555E-15)); #6845=CARTESIAN_POINT('',(6.50521303491303E-16,1123.5,-1.67921232474555E-15)); #6846=CARTESIAN_POINT('',(6.50521303491303E-16,1189.5,-1.67921232474555E-15)); #6847=CARTESIAN_POINT('',(6.50521303491303E-16,1255.5,-1.67921232474555E-15)); #6848=CARTESIAN_POINT('',(6.50521303491303E-16,1321.5,-1.67921232474555E-15)); #6849=CARTESIAN_POINT('',(6.50521303491303E-16,1387.5,-1.67921232474555E-15)); #6850=CARTESIAN_POINT('',(6.50521303491303E-16,1453.5,-1.67921232474555E-15)); #6851=CARTESIAN_POINT('',(6.50521303491303E-16,1519.5,-1.67921232474555E-15)); #6852=CARTESIAN_POINT('',(6.50521303491303E-16,1585.5,-1.67921232474555E-15)); #6853=CARTESIAN_POINT('',(6.50521303491303E-16,1651.5,-1.67921232474555E-15)); #6854=CARTESIAN_POINT('',(6.50521303491303E-16,1717.5,-1.67921232474555E-15)); #6855=CARTESIAN_POINT('',(6.50521303491303E-16,1783.5,-1.67921232474555E-15)); #6856=CARTESIAN_POINT('',(6.50521303491303E-16,1849.5,-1.67921232474555E-15)); #6857=CARTESIAN_POINT('',(6.50521303491303E-16,1915.5,-1.67921232474555E-15)); #6858=CARTESIAN_POINT('',(4.69185574067757E-15,1948.5,0.)); #6859=CARTESIAN_POINT('',(0.,0.,0.)); #6860=CARTESIAN_POINT('',(-60.,0.,0.)); #6861=CARTESIAN_POINT('',(-22.5,0.,0.)); #6862=CARTESIAN_POINT('',(-22.5,0.,11.45)); #6863=CARTESIAN_POINT('',(22.5,0.,0.)); #6864=CARTESIAN_POINT('',(22.5,0.,11.45)); #6865=CARTESIAN_POINT('',(-22.5,0.,11.45)); #6866=CARTESIAN_POINT('',(-22.5,0.,0.)); #6867=CARTESIAN_POINT('',(-22.5,0.,12.95)); #6868=CARTESIAN_POINT('',(-60.,0.,0.)); #6869=CARTESIAN_POINT('',(-22.,0.,0.)); #6870=CARTESIAN_POINT('',(-22.,0.,13.45)); #6871=CARTESIAN_POINT('',(22.,0.,0.)); #6872=CARTESIAN_POINT('',(22.,0.,-13.45)); #6873=CARTESIAN_POINT('',(22.5,0.,11.45)); #6874=CARTESIAN_POINT('',(22.5,0.,0.)); #6875=CARTESIAN_POINT('',(22.5,0.,-12.95)); #6876=CARTESIAN_POINT('',(-22.5,0.,0.)); #6877=CARTESIAN_POINT('',(22.,0.,0.)); #6878=CARTESIAN_POINT('',(0.,0.,0.)); #6879=CARTESIAN_POINT('',(-60.,0.,0.)); #6880=CARTESIAN_POINT('',(-23.,0.,0.)); #6881=CARTESIAN_POINT('',(-23.,0.,2.65)); #6882=CARTESIAN_POINT('',(23.,0.,0.)); #6883=CARTESIAN_POINT('',(23.,0.,2.65)); #6884=CARTESIAN_POINT('',(-23.,0.,2.65)); #6885=CARTESIAN_POINT('',(-23.,0.,0.)); #6886=CARTESIAN_POINT('',(-23.,0.,3.45)); #6887=CARTESIAN_POINT('',(-60.,0.,0.)); #6888=CARTESIAN_POINT('',(-22.5,0.,0.)); #6889=CARTESIAN_POINT('',(-22.5,0.,11.45)); #6890=CARTESIAN_POINT('',(22.5,0.,0.)); #6891=CARTESIAN_POINT('',(22.5,0.,-11.45)); #6892=CARTESIAN_POINT('',(23.,0.,2.65)); #6893=CARTESIAN_POINT('',(23.,0.,0.)); #6894=CARTESIAN_POINT('',(23.,0.,-3.44999999999989)); #6895=CARTESIAN_POINT('',(22.5,0.,0.)); #6896=CARTESIAN_POINT('',(-23.,0.,0.)); #6897=CARTESIAN_POINT('',(0.,0.,0.)); #6898=CARTESIAN_POINT('',(-1.58378220477609E-14,18.,20.)); #6899=CARTESIAN_POINT('',(-27.,0.,-6.79678973526781E-15)); #6900=CARTESIAN_POINT('',(-27.,0.,-9.12361865364779E-16)); #6901=CARTESIAN_POINT('',(-27.,0.,2.8)); #6902=CARTESIAN_POINT('',(12.1,0.,1.4818226269683E-15)); #6903=CARTESIAN_POINT('',(-26.8,0.,-9.00115397373305E-16)); #6904=CARTESIAN_POINT('',(-26.8,0.,3.)); #6905=CARTESIAN_POINT('',(-26.,0.,-8.51129525407411E-16)); #6906=CARTESIAN_POINT('',(-26.,0.,3.)); #6907=CARTESIAN_POINT('',(-26.,0.,3.)); #6908=CARTESIAN_POINT('',(-26.,0.,-8.51129525407411E-16)); #6909=CARTESIAN_POINT('',(-26.,0.,2.5)); #6910=CARTESIAN_POINT('',(12.1,0.,1.4818226269683E-15)); #6911=CARTESIAN_POINT('',(26.,0.,2.33295215237571E-15)); #6912=CARTESIAN_POINT('',(26.,0.,2.50000000000001)); #6913=CARTESIAN_POINT('',(26.,0.,2.50000000000001)); #6914=CARTESIAN_POINT('',(26.,0.,2.33295215237571E-15)); #6915=CARTESIAN_POINT('',(26.,0.,3.)); #6916=CARTESIAN_POINT('',(12.1,0.,1.4818226269683E-15)); #6917=CARTESIAN_POINT('',(27.,0.,2.39418449233308E-15)); #6918=CARTESIAN_POINT('',(27.,0.,-2.99999999999999)); #6919=CARTESIAN_POINT('',(27.,0.,2.39418449233308E-15)); #6920=CARTESIAN_POINT('',(27.,0.,1.65327317884893E-15)); #6921=CARTESIAN_POINT('',(27.,0.,-0.999999999999998)); #6922=CARTESIAN_POINT('',(26.,0.,1.59204083889156E-15)); #6923=CARTESIAN_POINT('',(26.,0.,1.59204083889156E-15)); #6924=CARTESIAN_POINT('',(26.,1.,1.59204083889156E-15)); #6925=CARTESIAN_POINT('',(26.,0.,1.59204083889156E-15)); #6926=CARTESIAN_POINT('',(-26.8,0.,-9.00115397373305E-16)); #6927=CARTESIAN_POINT('',(0.,18.,20.)); #6928=CARTESIAN_POINT('',(-4.16505073702958E-14,50.9999999999999,20.0000000000001)); #6929=CARTESIAN_POINT('',(-2.58126853225349E-14,50.9999999999999,20.0000000000001)); #6930=CARTESIAN_POINT('',(-4.16505073702958E-14,84.0000000000001,20.0000000000001)); #6931=CARTESIAN_POINT('',(-2.58126853225349E-14,84.0000000000001,20.0000000000001)); #6932=CARTESIAN_POINT('',(-4.16505073702958E-14,117.,20.0000000000001)); #6933=CARTESIAN_POINT('',(-2.58126853225349E-14,117.,20.0000000000001)); #6934=CARTESIAN_POINT('',(-4.16505073702958E-14,150.,20.0000000000001)); #6935=CARTESIAN_POINT('',(-2.58126853225349E-14,150.,20.0000000000001)); #6936=CARTESIAN_POINT('',(-4.16505073702958E-14,183.,20.0000000000001)); #6937=CARTESIAN_POINT('',(-2.58126853225349E-14,183.,20.0000000000001)); #6938=CARTESIAN_POINT('',(-4.16505073702958E-14,216.,20.0000000000001)); #6939=CARTESIAN_POINT('',(-2.58126853225349E-14,216.,20.0000000000001)); #6940=CARTESIAN_POINT('',(-4.16505073702958E-14,249.,20.0000000000001)); #6941=CARTESIAN_POINT('',(-2.58126853225349E-14,249.,20.0000000000001)); #6942=CARTESIAN_POINT('',(-4.16505073702958E-14,282.,20.0000000000001)); #6943=CARTESIAN_POINT('',(-2.58126853225349E-14,282.,20.0000000000001)); #6944=CARTESIAN_POINT('',(-4.16505073702958E-14,315.,20.0000000000001)); #6945=CARTESIAN_POINT('',(-2.58126853225349E-14,315.,20.0000000000001)); #6946=CARTESIAN_POINT('',(-4.16505073702958E-14,348.,20.0000000000001)); #6947=CARTESIAN_POINT('',(-2.58126853225349E-14,348.,20.0000000000001)); #6948=CARTESIAN_POINT('',(-4.16505073702958E-14,381.,20.0000000000001)); #6949=CARTESIAN_POINT('',(-2.58126853225349E-14,381.,20.0000000000001)); #6950=CARTESIAN_POINT('',(-4.16505073702958E-14,414.,20.0000000000001)); #6951=CARTESIAN_POINT('',(-2.58126853225349E-14,414.,20.0000000000001)); #6952=CARTESIAN_POINT('',(-4.16505073702958E-14,447.,20.0000000000001)); #6953=CARTESIAN_POINT('',(-2.58126853225349E-14,447.,20.0000000000001)); #6954=CARTESIAN_POINT('',(-4.16505073702958E-14,480.,20.0000000000001)); #6955=CARTESIAN_POINT('',(-2.58126853225349E-14,480.,20.0000000000001)); #6956=CARTESIAN_POINT('',(-4.16505073702958E-14,513.,20.0000000000001)); #6957=CARTESIAN_POINT('',(-2.58126853225349E-14,513.,20.0000000000001)); #6958=CARTESIAN_POINT('',(-4.16505073702958E-14,546.,20.0000000000001)); #6959=CARTESIAN_POINT('',(-2.58126853225349E-14,546.,20.0000000000001)); #6960=CARTESIAN_POINT('',(-4.16505073702958E-14,579.,20.0000000000001)); #6961=CARTESIAN_POINT('',(-2.58126853225349E-14,579.,20.0000000000001)); #6962=CARTESIAN_POINT('',(-4.16505073702958E-14,612.,20.0000000000001)); #6963=CARTESIAN_POINT('',(-2.58126853225349E-14,612.,20.0000000000001)); #6964=CARTESIAN_POINT('',(-4.16505073702958E-14,645.,20.0000000000001)); #6965=CARTESIAN_POINT('',(-2.58126853225349E-14,645.,20.0000000000001)); #6966=CARTESIAN_POINT('',(-4.16505073702958E-14,678.,20.0000000000001)); #6967=CARTESIAN_POINT('',(-2.58126853225349E-14,678.,20.0000000000001)); #6968=CARTESIAN_POINT('',(-4.16505073702958E-14,711.000000000001,20.0000000000001)); #6969=CARTESIAN_POINT('',(-2.58126853225349E-14,711.000000000001,20.0000000000001)); #6970=CARTESIAN_POINT('',(-4.16505073702958E-14,744.,20.0000000000001)); #6971=CARTESIAN_POINT('',(-2.58126853225349E-14,744.,20.0000000000001)); #6972=CARTESIAN_POINT('',(-4.16505073702958E-14,777.,20.0000000000001)); #6973=CARTESIAN_POINT('',(-2.58126853225349E-14,777.,20.0000000000001)); #6974=CARTESIAN_POINT('',(-4.16505073702958E-14,810.,20.0000000000001)); #6975=CARTESIAN_POINT('',(-2.58126853225349E-14,810.,20.0000000000001)); #6976=CARTESIAN_POINT('',(-4.16505073702958E-14,843.000000000001,20.0000000000001)); #6977=CARTESIAN_POINT('',(-2.58126853225349E-14,843.000000000001,20.0000000000001)); #6978=CARTESIAN_POINT('',(-4.16505073702958E-14,876.000000000001,20.0000000000001)); #6979=CARTESIAN_POINT('',(-2.58126853225349E-14,876.000000000001,20.0000000000001)); #6980=CARTESIAN_POINT('',(-4.16505073702958E-14,909.,20.0000000000001)); #6981=CARTESIAN_POINT('',(-2.58126853225349E-14,909.,20.0000000000001)); #6982=CARTESIAN_POINT('',(-4.16505073702958E-14,942.000000000001,20.0000000000001)); #6983=CARTESIAN_POINT('',(-2.58126853225349E-14,942.000000000001,20.0000000000001)); #6984=CARTESIAN_POINT('',(-4.16505073702958E-14,975.000000000001,20.0000000000001)); #6985=CARTESIAN_POINT('',(-2.58126853225349E-14,975.000000000001,20.0000000000001)); #6986=CARTESIAN_POINT('',(-4.16505073702958E-14,1008.,20.0000000000001)); #6987=CARTESIAN_POINT('',(-2.58126853225349E-14,1008.,20.0000000000001)); #6988=CARTESIAN_POINT('',(-4.16505073702958E-14,1041.,20.0000000000001)); #6989=CARTESIAN_POINT('',(-2.58126853225349E-14,1041.,20.0000000000001)); #6990=CARTESIAN_POINT('',(-4.16505073702958E-14,1074.,20.0000000000001)); #6991=CARTESIAN_POINT('',(-2.58126853225349E-14,1074.,20.0000000000001)); #6992=CARTESIAN_POINT('',(-4.16505073702958E-14,1107.,20.0000000000001)); #6993=CARTESIAN_POINT('',(-2.58126853225349E-14,1107.,20.0000000000001)); #6994=CARTESIAN_POINT('',(-4.16505073702958E-14,1140.,20.0000000000001)); #6995=CARTESIAN_POINT('',(-2.58126853225349E-14,1140.,20.0000000000001)); #6996=CARTESIAN_POINT('',(-4.16505073702958E-14,1173.,20.0000000000001)); #6997=CARTESIAN_POINT('',(-2.58126853225349E-14,1173.,20.0000000000001)); #6998=CARTESIAN_POINT('',(-4.16505073702958E-14,1206.,20.0000000000001)); #6999=CARTESIAN_POINT('',(-2.58126853225349E-14,1206.,20.0000000000001)); #7000=CARTESIAN_POINT('',(-4.16505073702958E-14,1239.,20.0000000000001)); #7001=CARTESIAN_POINT('',(-2.58126853225349E-14,1239.,20.0000000000001)); #7002=CARTESIAN_POINT('',(-4.16505073702958E-14,1272.,20.0000000000001)); #7003=CARTESIAN_POINT('',(-2.58126853225349E-14,1272.,20.0000000000001)); #7004=CARTESIAN_POINT('',(-4.16505073702958E-14,1305.,20.0000000000001)); #7005=CARTESIAN_POINT('',(-2.58126853225349E-14,1305.,20.0000000000001)); #7006=CARTESIAN_POINT('',(-4.16505073702958E-14,1338.,20.0000000000001)); #7007=CARTESIAN_POINT('',(-2.58126853225349E-14,1338.,20.0000000000001)); #7008=CARTESIAN_POINT('',(-4.16505073702958E-14,1371.,20.0000000000001)); #7009=CARTESIAN_POINT('',(-2.58126853225349E-14,1371.,20.0000000000001)); #7010=CARTESIAN_POINT('',(-4.16505073702958E-14,1404.,20.0000000000001)); #7011=CARTESIAN_POINT('',(-2.58126853225349E-14,1404.,20.0000000000001)); #7012=CARTESIAN_POINT('',(-4.16505073702958E-14,1437.,20.0000000000001)); #7013=CARTESIAN_POINT('',(-2.58126853225349E-14,1437.,20.0000000000001)); #7014=CARTESIAN_POINT('',(-4.16505073702958E-14,1470.,20.0000000000001)); #7015=CARTESIAN_POINT('',(-2.58126853225349E-14,1470.,20.0000000000001)); #7016=CARTESIAN_POINT('',(-4.16505073702958E-14,1503.,20.0000000000001)); #7017=CARTESIAN_POINT('',(-2.58126853225349E-14,1503.,20.0000000000001)); #7018=CARTESIAN_POINT('',(-4.16505073702958E-14,1536.,20.0000000000001)); #7019=CARTESIAN_POINT('',(-2.58126853225349E-14,1536.,20.0000000000001)); #7020=CARTESIAN_POINT('',(-4.16505073702958E-14,1569.,20.0000000000001)); #7021=CARTESIAN_POINT('',(-2.58126853225349E-14,1569.,20.0000000000001)); #7022=CARTESIAN_POINT('',(-4.16505073702958E-14,1602.,20.0000000000001)); #7023=CARTESIAN_POINT('',(-2.58126853225349E-14,1602.,20.0000000000001)); #7024=CARTESIAN_POINT('',(-4.16505073702958E-14,1635.,20.0000000000001)); #7025=CARTESIAN_POINT('',(-2.58126853225349E-14,1635.,20.0000000000001)); #7026=CARTESIAN_POINT('',(-4.16505073702958E-14,1668.,20.0000000000001)); #7027=CARTESIAN_POINT('',(-2.58126853225349E-14,1668.,20.0000000000001)); #7028=CARTESIAN_POINT('',(-4.16505073702958E-14,1701.,20.0000000000001)); #7029=CARTESIAN_POINT('',(-2.58126853225349E-14,1701.,20.0000000000001)); #7030=CARTESIAN_POINT('',(-4.16505073702958E-14,1734.,20.0000000000001)); #7031=CARTESIAN_POINT('',(-2.58126853225349E-14,1734.,20.0000000000001)); #7032=CARTESIAN_POINT('',(-4.16505073702958E-14,1767.,20.0000000000001)); #7033=CARTESIAN_POINT('',(-2.58126853225349E-14,1767.,20.0000000000001)); #7034=CARTESIAN_POINT('',(-4.16505073702958E-14,1800.,20.0000000000001)); #7035=CARTESIAN_POINT('',(-2.58126853225349E-14,1800.,20.0000000000001)); #7036=CARTESIAN_POINT('',(-4.16505073702958E-14,1833.,20.0000000000001)); #7037=CARTESIAN_POINT('',(-2.58126853225349E-14,1833.,20.0000000000001)); #7038=CARTESIAN_POINT('',(-4.16505073702958E-14,1866.,20.0000000000001)); #7039=CARTESIAN_POINT('',(-2.58126853225349E-14,1866.,20.0000000000001)); #7040=CARTESIAN_POINT('',(-4.16505073702958E-14,1899.,20.0000000000001)); #7041=CARTESIAN_POINT('',(-2.58126853225349E-14,1899.,20.0000000000001)); #7042=CARTESIAN_POINT('',(-4.16505073702958E-14,1932.,20.0000000000001)); #7043=CARTESIAN_POINT('',(-2.58126853225349E-14,1932.,20.0000000000001)); #7044=CARTESIAN_POINT('',(-4.16505073702958E-14,1965.,20.0000000000001)); #7045=CARTESIAN_POINT('',(-2.58126853225349E-14,1965.,20.0000000000001)); #7046=MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION('',(#4028, #4029,#4030,#4031),#7047); #7047=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#7053)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#7061,#7060,#7059)) REPRESENTATION_CONTEXT('1486-02-00','TOP_LEVEL_ASSEMBLY_PART') ); #7048=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#7054)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#7061,#7060,#7059)) REPRESENTATION_CONTEXT('1486-01-01','COMPONENT_PART') ); #7049=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#7055)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#7061,#7060,#7059)) REPRESENTATION_CONTEXT('1486-03-00','COMPONENT_PART') ); #7050=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#7056)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#7061,#7060,#7059)) REPRESENTATION_CONTEXT('1486-03-01','COMPONENT_PART') ); #7051=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#7057)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#7061,#7060,#7059)) REPRESENTATION_CONTEXT('1486-03-02','COMPONENT_PART') ); #7052=( GEOMETRIC_REPRESENTATION_CONTEXT(3) GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#7058)) GLOBAL_UNIT_ASSIGNED_CONTEXT((#7061,#7060,#7059)) REPRESENTATION_CONTEXT('Nit.','COMPONENT_PART') ); #7053=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.005),#7061, 'DISTANCE_ACCURACY_VALUE','Maximum Tolerance applied to model'); #7054=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.005),#7061, 'DISTANCE_ACCURACY_VALUE','Maximum Tolerance applied to model'); #7055=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.005),#7061, 'DISTANCE_ACCURACY_VALUE','Maximum Tolerance applied to model'); #7056=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.005),#7061, 'DISTANCE_ACCURACY_VALUE','Maximum Tolerance applied to model'); #7057=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.005),#7061, 'DISTANCE_ACCURACY_VALUE','Maximum Tolerance applied to model'); #7058=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.005),#7061, 'DISTANCE_ACCURACY_VALUE','Maximum Tolerance applied to model'); #7059=( NAMED_UNIT(*) SI_UNIT($,.STERADIAN.) SOLID_ANGLE_UNIT() ); #7060=( NAMED_UNIT(*) PLANE_ANGLE_UNIT() SI_UNIT($,.RADIAN.) ); #7061=( LENGTH_UNIT() NAMED_UNIT(*) SI_UNIT(.MILLI.,.METRE.) ); #7062=PRODUCT_DEFINITION_SHAPE('','',#7191); #7063=PRODUCT_DEFINITION_SHAPE('','',#7192); #7064=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#148); #7065=PRODUCT_DEFINITION_SHAPE('','',#7193); #7066=PRODUCT_DEFINITION_SHAPE('','',#7194); #7067=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#149); #7068=PRODUCT_DEFINITION_SHAPE('','',#7195); #7069=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#150); #7070=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#151); #7071=PRODUCT_DEFINITION_SHAPE('','',#7196); #7072=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#152); #7073=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#153); #7074=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#154); #7075=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#155); #7076=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#156); #7077=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#157); #7078=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#158); #7079=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#159); #7080=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#160); #7081=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#161); #7082=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#162); #7083=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#163); #7084=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#164); #7085=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#165); #7086=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#166); #7087=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#167); #7088=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#168); #7089=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#169); #7090=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#170); #7091=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#171); #7092=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#172); #7093=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#173); #7094=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#174); #7095=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#175); #7096=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#176); #7097=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#177); #7098=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#178); #7099=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#179); #7100=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#180); #7101=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#181); #7102=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#182); #7103=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#183); #7104=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#184); #7105=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#185); #7106=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#186); #7107=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#187); #7108=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#188); #7109=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#189); #7110=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#190); #7111=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#191); #7112=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#192); #7113=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#193); #7114=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#194); #7115=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#195); #7116=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#196); #7117=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#197); #7118=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#198); #7119=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#199); #7120=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#200); #7121=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#201); #7122=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#202); #7123=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#203); #7124=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#204); #7125=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#205); #7126=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#206); #7127=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#207); #7128=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#208); #7129=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#209); #7130=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#210); #7131=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#211); #7132=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#212); #7133=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#213); #7134=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#214); #7135=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#215); #7136=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#216); #7137=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#217); #7138=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#218); #7139=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#219); #7140=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#220); #7141=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#221); #7142=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#222); #7143=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#223); #7144=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#224); #7145=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#225); #7146=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#226); #7147=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#227); #7148=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#228); #7149=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#229); #7150=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#230); #7151=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#231); #7152=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#232); #7153=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#233); #7154=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#234); #7155=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#235); #7156=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#236); #7157=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#237); #7158=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#238); #7159=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#239); #7160=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#240); #7161=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#241); #7162=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#242); #7163=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#243); #7164=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#244); #7165=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#245); #7166=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#246); #7167=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#247); #7168=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#248); #7169=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#249); #7170=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#250); #7171=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#251); #7172=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#252); #7173=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#253); #7174=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#254); #7175=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#255); #7176=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#256); #7177=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#257); #7178=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#258); #7179=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#259); #7180=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#260); #7181=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#261); #7182=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#262); #7183=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#263); #7184=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#264); #7185=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#265); #7186=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#266); #7187=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#267); #7188=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#268); #7189=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#269); #7190=PRODUCT_DEFINITION_SHAPE(' ','NAUO PRDDFN',#270); #7191=PRODUCT_DEFINITION('','',#7203,#7197); #7192=PRODUCT_DEFINITION('','',#7204,#7198); #7193=PRODUCT_DEFINITION('','',#7205,#7199); #7194=PRODUCT_DEFINITION('','',#7206,#7200); #7195=PRODUCT_DEFINITION('','',#7207,#7201); #7196=PRODUCT_DEFINITION('','',#7208,#7202); #7197=PRODUCT_DEFINITION_CONTEXT('',#7234,'design'); #7198=PRODUCT_DEFINITION_CONTEXT('',#7234,'design'); #7199=PRODUCT_DEFINITION_CONTEXT('',#7234,'design'); #7200=PRODUCT_DEFINITION_CONTEXT('',#7234,'design'); #7201=PRODUCT_DEFINITION_CONTEXT('',#7234,'design'); #7202=PRODUCT_DEFINITION_CONTEXT('',#7234,'design'); #7203=PRODUCT_DEFINITION_FORMATION_WITH_SPECIFIED_SOURCE('','',#7215, .NOT_KNOWN.); #7204=PRODUCT_DEFINITION_FORMATION_WITH_SPECIFIED_SOURCE('','',#7216, .NOT_KNOWN.); #7205=PRODUCT_DEFINITION_FORMATION_WITH_SPECIFIED_SOURCE('','',#7217, .NOT_KNOWN.); #7206=PRODUCT_DEFINITION_FORMATION_WITH_SPECIFIED_SOURCE('','',#7218, .NOT_KNOWN.); #7207=PRODUCT_DEFINITION_FORMATION_WITH_SPECIFIED_SOURCE('','',#7219, .NOT_KNOWN.); #7208=PRODUCT_DEFINITION_FORMATION_WITH_SPECIFIED_SOURCE('','',#7220, .NOT_KNOWN.); #7209=PRODUCT_RELATED_PRODUCT_CATEGORY('','',(#7215)); #7210=PRODUCT_RELATED_PRODUCT_CATEGORY('','',(#7216)); #7211=PRODUCT_RELATED_PRODUCT_CATEGORY('','',(#7217)); #7212=PRODUCT_RELATED_PRODUCT_CATEGORY('','',(#7218)); #7213=PRODUCT_RELATED_PRODUCT_CATEGORY('','',(#7219)); #7214=PRODUCT_RELATED_PRODUCT_CATEGORY('','',(#7220)); #7215=PRODUCT('1486-02-00','1486-02-00','1486-02-00',(#7227)); #7216=PRODUCT('1486-01-01','1486-01-01','1486-01-01',(#7228)); #7217=PRODUCT('1486-03-00','1486-03-00','1486-03-00',(#7229)); #7218=PRODUCT('1486-03-01','1486-03-01','1486-03-01',(#7230)); #7219=PRODUCT('1486-03-02','1486-03-02','1486-03-02',(#7231)); #7220=PRODUCT('Nit.','Nit.','Nit.',(#7232)); #7221=PRODUCT_CATEGORY('',''); #7222=PRODUCT_CATEGORY('',''); #7223=PRODUCT_CATEGORY('',''); #7224=PRODUCT_CATEGORY('',''); #7225=PRODUCT_CATEGORY('',''); #7226=PRODUCT_CATEGORY('',''); #7227=PRODUCT_CONTEXT('',#7234,'mechanical'); #7228=PRODUCT_CONTEXT('',#7234,'mechanical'); #7229=PRODUCT_CONTEXT('',#7234,'mechanical'); #7230=PRODUCT_CONTEXT('',#7234,'mechanical'); #7231=PRODUCT_CONTEXT('',#7234,'mechanical'); #7232=PRODUCT_CONTEXT('',#7234,'mechanical'); #7233=APPLICATION_PROTOCOL_DEFINITION('international standard', 'automotive_design',2010,#7234); #7234=APPLICATION_CONTEXT( 'core data for automotive mechanical design processes'); ENDSEC; END-ISO-10303-21;